2D Strain Mapping in Sub-10nm SiGe Layer with High-Resolution Transmission Electron Microscopy and Geometric Phase Analysis

Article Preview

Abstract:

In this study, strain measurement can be analyzed in sub-10nm SiGe layer (~7 nm) grown on [100] Si substrate by chemical vapor deposition at the nanoscale level. The measurement technique is based on transmission electron microscopy (TEM), in which high-resolution transmission electron microscopy (HRTEM) image is combined with the image processing of geometric phase analysis (GPA) software. In this case, GPA analyzes the HRTEM images formed at the [011] zone axis to obtain information about strain maps along the [100] growth direction of the nanoscale SiGe region. The strain analyzed in the SiGe layer is within 1.6-2.9% with high precision and high spatial resolution.

You might also be interested in these eBooks

Info:

Periodical:

Pages:

41-47

Citation:

Online since:

August 2022

Export:

Price:

* - Corresponding Author

[1] A. Armigliato, R. Balboni, S. Frabboni, Improving spatial resolution of convergent beam electron diffraction strain mapping in silicon microstructures, Appl. Phys. Lett., 86, (2005) 063508(1-3).

DOI: 10.1063/1.1855408

Google Scholar

[2] A. Armigliato, A. Spessot, R. Balboni, A. Benedetti, G. Carnevale, S. Frabboni, G. Mastracchio, G. Pavia, Convergent beam electron diffraction investigation of strain induced by Ti self-aligned silicides in shallow trench Si isolation structures, J. Appl. Phys., 99, (2006) 064504(1-6).

DOI: 10.1063/1.2179136

Google Scholar

[3] P. Zhang, A. A. Istratov, E. R. Weber, C. Kisielowski, H. He, C. Nelson, J. C. H. Spence, Direct strain measurement in a 65 nm node strained silicon transistor by convergent-beam electron diffraction, Appl. Phys. Lett., 89, (2006) 161907(1-3).

DOI: 10.1063/1.2362978

Google Scholar

[4] K. Usuda, T. Numata, S. Takagi, Strain evaluation of strained-Si layers on SiGe by the nano-beam electron diffraction (NBD) method, Mater. Sci. Semicond. Process., 8, (2005) 155-159.

DOI: 10.1016/j.mssp.2004.09.105

Google Scholar

[5] K. Usuda, T. Numata, T. Irisawa, N. Hirashita, S. Takagi, Strain characterization in SOI and strained-Si on SGOI MOSFET channel using nano-beam electron diffraction (NBD), Mater. Sci. Eng. B, 124–125, (2005) 143-147.

DOI: 10.1016/j.mseb.2005.08.062

Google Scholar

[6] A. Béché, J. L. Rouvière, L. Clément, J. M. Hartmann, Improved precision in strain measurement using nano beam electron diffraction, Appl. Phys. Lett., 95, (2009) 123114(1-3).

DOI: 10.1063/1.3224886

Google Scholar

[7] F. Hüe, M. Hytch, F. Houdellier, E. Snoeck, A. Claverie, Strain mapping in MOSFETS by high-resolution electron microscopy and electron holography, Mater. Sci. Eng. B, 154–155, (2008) 221-224.

DOI: 10.1016/j.mseb.2008.10.020

Google Scholar

[8] S. H. Vajargah, M. Couillard, K. Cui, S. G. Tavakoli, B. Robinson, R. N. Kleiman, J. S. Preston, G. A. Botton, Strain relief and AlSb buffer layer morphology in GaSb heteroepitaxial films grown on Si as revealed by high-angle annular dark-field scanning transmission electron microscopy, Appl. Phys. Lett., 98, (2011) 082113(1-3).

DOI: 10.1063/1.3551626

Google Scholar

[9] E. Guerrero, P. L. Galindo, A. Yanez, J. Pizarro, M. P. Guerrero-Lebrero, S. I. Molina, Accuracy assessment of strain mapping from Z-contrast images of strained nanostructures, Appl. Phys. Lett., 95, (2009) 143126(1-3).

DOI: 10.1063/1.3243990

Google Scholar

[10] Y. Wang, X. Ge, W. Zhang, Effect of reference region size on strain measurements using geometrical phase analysis, J. Microscopy, 278, (2020) 49–56.

DOI: 10.1111/jmi.12882

Google Scholar

[11] J. Zhu, Y. K. Zhou, S. L. Toh, Z. H. Mai, J. Lam, A. Y. Du, Y. N. Hua, R. Rajgopal, TEM dark-field off-axis electron holography strain measurement on embedded-SiGe pMOSFETs and comparison with nano-beam diffraction strain measurement, 19th IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA), Piscataway, NJ IEEE 2012, Singapore, (2012)1-5.

DOI: 10.1109/ipfa.2012.6306290

Google Scholar

[12] A. Béché, J. L. Rouvière, J. P. Barnes, D. Cooper, Strain measurement at the nanoscale: Comparison between convergent beam electron diffraction, nano-beam electron diffraction, high-resolution imaging and dark-field electron holography, Ultramicroscopy, 131, (2013) 10-23.

DOI: 10.1016/j.ultramic.2013.03.014

Google Scholar

[13] P. Formanek, E. Bugiel, Specimen preparation for electron holography of semiconductor devices, Ultramicroscopy, 106, (2006) 365-375.

DOI: 10.1016/j.ultramic.2005.11.002

Google Scholar

[14] J. H. Yoo, J. M. Yang, S. Ulugbek, C. W. Ahn, W. J. Hwang, J. K. Park, C. M. Park, S. B. Hong, J. J. Kim, D. Shindo, Electron holography study for two-dimensional dopant profile measurement with specimens prepared by backside ion milling, J. Electron. Microsc., 57, (2008) 13-18.

DOI: 10.1093/jmicro/dfm037

Google Scholar

[15] M. J. Hÿtch, F. Houdellier, Mapping stress and strain in nanostructures by high-resolution transmission electron microscopy, Microelectron. Eng., 84, (2007) 460-463.

DOI: 10.1016/j.mee.2006.10.062

Google Scholar

[16] V. Boureau, D. Benoit, B. Warot, M. Hÿtch, A. Claverie, Strain/composition interplay in thin SiGe layers on insulator processed by Ge condensation, Mater. Sci. Semicond. Process., 42, (2016) 251-254.

DOI: 10.1016/j.mssp.2015.07.034

Google Scholar

[17] T. Tezuka, S. Nakaharai, Y. Moriyama, N. Hirashita, E. Toyoda, T. Numata, T. Irisawa, K. Usuda, N. Sugiyama, T. Mizuno, S. Takagi, Strained SOI/SGOI dual-channel CMOS technology based on the Ge condensation technique, Semicond. Sci. Technol., 22, (2007) 93–97.

DOI: 10.1088/0268-1242/22/1/s22

Google Scholar

[18] A. Pofelski, S. Y. Woo, B. H. Le, X. Liu, S. Zhao, Z. Mi, S. Löffler, G. A. Botton, 2D strain mapping using scanning transmission electron microscopy Moiré interferometry and geometrical phase analysis, Ultramicroscopy, 187, (2018) 1-12.

DOI: 10.1016/j.ultramic.2017.12.016

Google Scholar

[19] M. Couillard, Micrometre-scale strain mapping of transistor arrays extracted from undersampled atomic-resolution images. Micron, 148, (2021) 103100.

DOI: 10.1016/j.micron.2021.103100

Google Scholar