Next Article in Journal
Nb2S4(CS2NH2)4—A New Precursor for NbS2 and Its Transition Metal Inserted Derivatives
Next Article in Special Issue
Alternative Synthesis of MCM-41 Using Inexpensive Precursors for CO2 Capture
Previous Article in Journal
State-of-the-Art and Progress in Metal-Hydrogen Systems
Previous Article in Special Issue
Microwave-Mediated Synthesis and Characterization of Ca(OH)2 Nanoparticles Destined for Geraniol Encapsulation
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Combination of Multiple Operando and In-Situ Characterization Techniques in a Single Cluster System for Atomic Layer Deposition: Unraveling the Early Stages of Growth of Ultrathin Al2O3 Films on Metallic Ti Substrates

1
Applied Physics and Semiconductor Spectroscopy, Brandenburg University of Technology Cottbus-Senftenberg, 03046 Cottbus, Germany
2
IHP—Leibniz Institut fuer Innovative Mikroelektronik, 15236 Frankfurt, Germany
*
Author to whom correspondence should be addressed.
Inorganics 2023, 11(12), 477; https://doi.org/10.3390/inorganics11120477
Submission received: 2 November 2023 / Revised: 1 December 2023 / Accepted: 8 December 2023 / Published: 14 December 2023
(This article belongs to the Special Issue 10th Anniversary of Inorganics: Inorganic Materials)

Abstract

:
This work presents a new ultra-high vacuum cluster tool to perform systematic studies of the early growth stages of atomic layer deposited (ALD) ultrathin films following a surface science approach. By combining operando (spectroscopic ellipsometry and quadrupole mass spectrometry) and in situ (X-ray photoelectron spectroscopy) characterization techniques, the cluster allows us to follow the evolution of substrate, film, and reaction intermediates as a function of the total number of ALD cycles, as well as perform a constant diagnosis and evaluation of the ALD process, detecting possible malfunctions that could affect the growth, reproducibility, and conclusions derived from data analysis. The homemade ALD reactor allows the use of multiple precursors and oxidants and its operation under pump and flow-type modes. To illustrate our experimental approach, we revisit the well-known thermal ALD growth of Al2O3 using trimethylaluminum and water. We deeply discuss the role of the metallic Ti thin film substrate at room temperature and 200 °C, highlighting the differences between the heterodeposition (<10 cycles) and the homodeposition (>10 cycles) growth regimes at both conditions. This surface science approach will benefit our understanding of the ALD process, paving the way toward more efficient and controllable manufacturing processes.

1. Introduction

Appeals from national and supranational institutions have become more frequent and imperative regarding the scarcity of critical materials and the effects of anthropogenic climate change. To minimize the global hazardous impact of these threats, the path towards the so-called green energy transition requires not only novel materials and technologies but also higher efficiency and sustainability of current processing techniques and devices.
In this context, atomic layer deposition (ALD) has gained increased attention in the last decades due to its potential use in microelectronics for device miniaturization, thanks to the excellent control of thickness and conformality of structures with high aspect ratio, the use of relatively low temperatures—from room temperature (25 °C, RT) to 400 °C—and the possibility of mixing different elements to grow mixed compounds, layers with different doping levels, and complex heterostructures [1,2,3,4]. Moreover, the flexibility offered by ALD has become very attractive for non-ALD specialists [5], finding multiple applications in a great variety of fields away from the more traditional gate oxides in microelectronics, such as photovoltaics [6,7], sensing [8,9], catalysis [10,11], or energy storage [12,13]. The fast-spreading of ALD can be understood considering the basics of its fundamental principles as well as its easy scalability and implementation in industrial processes; the ALD mentioned strengths come from its self-limiting nature, achieved by subsequent pulses of reactants (organometallic precursors and corresponding co-reactants) that can only undergo a self-limiting reaction with the available active surface sites within each sub-cycle [2,14]. Furthermore, the so-called area-selective ALD takes advantage of this self-limiting nature of the ALD reaction mechanism by controlling the area where the growth occurs using passivation strategies that inhibit the precursor-surface reaction [15,16,17].
Considering the capital importance of ALD chemistry, the rational design of ALD organometallic precursors has become a hot research topic [18,19,20,21]. The requirements include (1) a sufficiently high vapor pressure to minimize the pulse lengths together with a high decomposition temperature, (2) a high reactivity towards the substrate surface to minimize any delays in the nucleation process and significant deviation from the expected layer-by-layer growth, and (3) no possibility of self-reaction when adsorbed on the surface or with the byproducts. The precursors should also present low toxicity, low cost, and the possibility for scale-up.
However, searching for novel ALD precursors is not the only challenge; the reaction mechanism for many ALD processes is not deeply understood yet, which limits the use of optimized and controllable ALD processes in industrial applications. As stated by H. Sønsteby and coworkers [22], even for well-known processes such as the growths of Al2O3 or Fe2O3 on hydroxylated Si surfaces using trimethylaluminum (TMA) and water or bis(cyclopentadienyl) iron [Fe(cp)2] and O3, the reported growth-per-cycle (GPC) values vary significantly between 1 to 3 and 0.2 to 1.4 Å/cycle, respectively. This experimentally observed lack of reproducibility within the same process and under seemingly equivalent experimental conditions is not consistent with a self-limited process. However, it must rather be related to (1) a lack of control over the experimental parameters, i.e., uncontrolled dosing of precursors, inadequate purging, real or virtual leaks in the reactor, reactor design, etc., and (2) the role of the substrate. To diagnose the ALD process, several operando devices and techniques can be used, such as quartz crystal microbalance (QCM) [23,24,25], ellipsometry [22,25,26,27], or quadrupole mass spectrometry (QMS) [22]. In the first two cases, the GPC can be monitored by indirect measurements of the thickness through changes in mass or light polarization, respectively, whereas QMS allows good control of the precursor dose while enabling cross-checking of possible reactor malfunction, e.g., the presence of vacuum leaks. Furthermore, these characterization techniques can also be employed to study the reaction mechanism by precisely following the evolution of the GPC under different conditions (substrate, temperature, pressure, dose and purging time, etc.) [27,28], the presence and nature of byproducts [29,30,31], and the evolution of film properties [27]. Similarly, the adsorbed intermediate states on the surface can be followed by infrared spectroscopy under operando conditions [31,32].
Most of these techniques, however, are frequently used to study what S. Elliott calls the homo-deposition regime, i.e., when the organometallic molecule interacts with the relatively thick grown film and not during the hetero-deposition regime, i.e., when the reaction takes place between the precursor and the substrate surface [16]. The differences between these two situations, closely related to the steady-state and nucleation regimes, respectively, are more significant when dealing with ultrathin deposits (below 10 nm thickness), where the substrate plays a crucial role in terms of interfacial effects affecting the ALD reaction mechanism and the ALD-layer properties.
Thanks to its probe depth (~10 nm maximum in conventional laboratory equipment) and sensitivity to elemental oxidation state and without requiring a change in the dipole moment of the probed species (as for infrared spectroscopy), X-ray photoemission spectroscopy (XPS) constitutes a potentially powerful tool to characterize the early stages of growth, especially considering the evolution of the interface between film and substrate and the intermediate species after each sub-cycle. Although the relatively high pressures present in the ALD process (10−4 to 10 mbar), the comparably high amount of impurities, and the typical lack of a high crystalline order have prevented a classic surface science approach like that applied to deposits grown using physical vapor deposition techniques (e.g., molecular beam epitaxy), an increasing number of operando and in situ (also referred as in vacuo) studies, have been reported in the last years. For instance, operando studies have been recently performed using flow-type reaction cells in differentially pumped X-ray photoelectron spectroscopy (NAP-XPS) devices [33,34,35]. Due to the characteristic high pressures of ALD processes, especially when a carrier gas is used, these operando and time-resolved XPS experiments are typically limited to synchrotron facilities, although some experiments have been carried out at standard NAP-XPS setups [36,37]. A more typical scenario is the in situ approach, where the film is transferred under controlled conditions, i.e., high or ultra-high vacuum conditions, from the ALD reactor to the analysis chamber, thus preventing film/surface modification or the deposit of contaminants, e.g., adventitious carbon, after exposure to atmosphere. In this regard, multiple examples in the literature can be found using lab-based tools [25,26,38,39] or synchrotron radiation [40,41,42], where other X-ray-based techniques, apart from XPS, are used [43], such as X-ray reflectivity (XRR), grazing incidence small angle X-ray scattering (GISAXS), X-ray fluorescence (XRF), and X-ray absorption (XAS). As a drawback, cross-contamination between the ALD reactor and the rest of the vacuum system due to the use of organic reactants at relatively high pressures can be expected, thus making it necessary to either dedicate the system entirely to ALD-based experiments or thoroughly clean the whole system after them. This brief overview intends to show how the gap between the ALD and the surface science communities has gradually dissipated in the last few years.
In the present work, we introduce our new cluster tool capable of combining operando (spectroscopic ellipsometry and QMS) and in situ (XPS) characterization techniques to study the complete ALD process, with particular emphasis on the very early stages of growth. The homemade ALD reactor allows the use of organometallic precursors with different vapor pressures and, particularly, the operation in the so-called flow (1–10 mbar) and pump-type (10−4–10−3 mbar) modes, i.e., with and without carrier gas, respectively. To prove the capabilities of the system and exemplify the surface science strategy applied in this kind of experiment, we have revisited the well-known thermal ALD (T-ALD) deposition of Al2O3 using TMA and water. By performing a quantitative XPS analysis and comparing it with the results of ellipsometric measurements, we discuss the initial variations in the GPC, emphasizing the influence of experimental factors on estimating the GPC values. We compare the early stages of growth of Al2O3 on polycrystalline Ti at two different temperatures (RT and 200 °C) and discuss the role of TMA in the early passivation of the easily oxidizing metallic Ti surface. To the authors’ knowledge, there are no systematic XPS studies targeting the TMA/H2O interaction with metallic Ti surfaces and the influence of T-ALD processes on modifying the film/substrate interface during the very early stages of growth, which could have important implications for the growth of passivating coatings of highly reactive surfaces [44,45,46,47]. Furthermore, ex situ characterization by transmission electron microscopy (TEM) performed on nanostructured substrates will help to elucidate the influence of other factors on ALD growth and demonstrate that special care must be taken when comparing the same processes applied to substrates with different aspect ratios. We believe that this surface science approach will improve our understanding of the fundamentals of the ALD reaction mechanisms, thus paving the way to more efficient and controllable manufacturing processes.
The manuscript is divided into three main sections, followed by final conclusions. Section 2 is divided into two subsections, referred to as the presentation and description of the operando (ellipsometry and QMS) and in situ (XPS) characterization of the thermal ALD (T-ALD) growth of Al2O3 ultrathin films (≤10 nm) in both cases complemented with ex situ TEM measurements. Subsequently, Section 3 will present a comprehensive discussion of the previous measurements, highlighting the synergies from the combination of multiple characterization techniques and how they can be used to cross-validate the scientific results following a more classic surface science approach. The details of the experimental setup and the ALD procedure are described in depth in Section 4.

2. Results

2.1. Operando Characterization

The T-ALD growth of Al2O3 was first characterized through ellipsometry as a diagnostic technique to confirm the self-limiting nature of the reaction mechanism. Figure 1a shows the evolution of thickness as a function of time for a growth performed at room temperature (RT) on Si substrates with about 2 nm thick natural SiO2 adlayer, showing an almost ideal linear behavior indicating a constant GPC. The initial 3 Å step after the first TMA dose is probably related to the first adsorption of a complete layer of Al-CH3 on the substrate surface (the Al-C bond distance is ~2 Å). Furthermore, the top left inset showcases the typical modified step-like behavior associated with the ALD cycles. Here, the thickness increases with the TMA dose due to the adsorption of the metallic cation together with the remaining ligands and decreases after the H2O pulse due to Al-O bond formation and the release of the methyl groups. The GPC estimated from these individual steps (taken at the steady growth stage after more than 60 cycles) is about 1.0 Å/cycle, corresponding to the minimum values reported in the literature for equivalent ALD processes. Unlike laser-based ellipsometers [27], the time between consecutive measurements and signal-to-noise ratio does not allow for characterizing the steady states of the purging steps, i.e., when the thickness should be constant. This limitation prevents further analysis of possible leaks or insufficient purging of the gas lines that would induce uncontrolled adsorption or oxidation of the precursor, as shown elsewhere, e.g., for the growth of Ga2O3 using TMGa and O2 plasma [48]. Moreover, the bottom right inset displays a cross-sectional TEM image of a nanostructured silicon substrate onto which a 5 nm thick, homogeneous Al2O3 layer has been deposited under the same conditions, demonstrating a high conformality that is especially remarkable at the edges.
The linear fitting of the ellipsometric measurements (Figure 1a, red) shows an average GPC value of 0.65 Å/cycle for the first 60 cycles, whereas if the fit is limited to the 10 first cycles (blue), the GPC is only 0.56 Å/cycle, a value much lower than those typically reported in the literature, or even the one estimated above for a single step after more than 60 cycles. This finding points toward a distinct difference in chemistry between the homodeposition and heterodeposition cases that notably influence the growth rate. Therefore, we have complemented this initial characterization with the XPS measurements performed after subsequent complete ALD cycles.
Figure 1b shows the evolution of the substrate (Si 2p) and film (Al 2p) intensities as a function of the total number of ALD cycles. By fitting the experimental data with a layer-by-layer growth model of the form exp(−d/λ) and (1 − exp(−d/λ)), respectively [49] (where d is the film thickness and λ is the inelastic mean free path of the photoelectrons), we obtain average GPC values of around 0.9 Å/cycle for both substrate and film signals, as expected. The previous growth model expressions allow calculating the instantaneous (i.e., referred to the individual deposition performed between subsequent XPS measurements) and accumulated (i.e., considering the total number of ALD cycles until the specific XPS measurement) GPC at individual points, as shown in Figure 1c (where the dashed lines correspond to the average GPC values estimated in Figure 1b). Although the GPC converges to the expected value above 60 cycles, there are some important deviations before 30 cycles. During the first 20 cycles, the GPC values estimated from both the substrate and the film signals are lower, in the range between 0.5 and 0.7 Å/cycle, in line with those obtained from the ellipsometry data fit (Figure 1a, blue line), also validating the optical modeling incorporating values of the indexes of refraction known for the bulk materials. Moreover, there seems to be an abrupt increase after 30 cycles, probably related to a complete coalescence of the alumina film (the XPS fitting model assumes a complete surface coverage, which can result in slight deviations in the presence of initial nucleation delays or film pinholes). It is worth mentioning that these sorts of singular deviations, especially when considering a low number of cycles, could be critically influenced by insufficient conditioning of the ALD reactor and the gas lines before deposition. This technical issue can artificially modify the GPC during the first or second cycles and can easily be identified by in situ ellipsometry measurements. In this case, however, we have not detected any unusual behavior, and thus, the deviation of the instantaneous GPC estimated by XPS is probably related to the applied model, as mentioned above.
Therefore, the GPC estimated from two different techniques (operando and in situ) shows similar values and trends, highlighting the reproducibility of the process (measurements taken on different samples) and the possibility of obtaining complementary information, especially during the early stages of growth where more significant deviations from the ideal linear growth are expected owing to the special chemistry at the interface. It is worth noting that the GPC values obtained from both techniques depend on the applied model, in both cases assuming a continuous layer from the beginning. In particular, in the applied ellipsometric model, the refractive index and extinction coefficient (see Section 4) were derived from thicker films and assumed to remain constant while analyzing thinner films. This approach could slightly modify the estimated GPC for low coverages.
The ALD process at RT and 200 °C has also been followed by QMS in multiple ion detection modes, as shown in Figure 2 for the m/z = 18 (H2O) and m/z = 16 (CH4) signals as a function of time. As a result of the reaction between the TMA molecule with the substrate (and reactor walls) and subsequent oxidation with water, two pulses of residual CH4 are measured just after the TMA and H2O dose, as extensively reported previously in the literature [29,31]. In particular, on SiO2 surfaces, it has been shown that increasing the temperature to 200 °C increases the release of TMA ligands, i.e., methyl groups, from approximately one to two [50,51,52]. This effect is well reproduced by the ratio of the CH4 integrated areas of the TMA and H2O sub-cycles, giving 1.4 and 2 for the RT and 200 °C growth, respectively. Moreover, in the case of treating with a well-known system where the reaction mechanism is well established [53], QMS can also be used to diagnose the ALD process. By comparing the processes at (a) RT and (b) 200 °C, we notice an H2O signal when the TMA is dosed at a substrate temperature of 200 °C compared to the RT growth. As the growth at high temperature (shown in Figure 2b) was performed immediately after the RT process on a second substrate, we believe this water comes from a virtual leak due to cold spots on the reactor walls (which were nominally heated up to 120 °C), leading to H2O signals in the QMS measurements only if there is some other gas, TMA or N2, acting as carrier gas (thus explaining also the increase in water after the second N2 purge pulse). The presence of this extra water could explain why the estimated GPC at 200 °C by ellipsometry is slightly higher than expected, around 1.6 Å/cycle compared to the theoretical 1.3 Å/cycle [52]. Nevertheless, this technical issue can easily be minimized by baking out the ALD reactor before further depositions, mostly eliminating the water excess (as verified by QMS). Despite this virtual leak, however, the ALD process preserves its self-limiting behavior without becoming a CVD process, as indicated by the XPS and ellipsometric measurements and the GPC saturation when increasing the TMA pulse duration.
We note that no signal from Al-containing species was detected, possibly indicating that all the TMA is completely consumed at the sample surface and reactor walls. As the increase in TMA dose does not lead to a higher GPC as measured by ellipsometry, i.e., saturation of the process at the sample surface, the saturation on the reactor walls between the sample and the QMS is not fully achieved (and thus, the ALD chemistry is not exactly the same in all exposed surfaces). This fact implies that the current QMS setup (see Section 4 Materials and Methods) properly works for ALD-process monitoring but presents limitations for detailed mechanistic studies. Due to space limitations, the current QMS configuration without the use of a capillary [29] or an orifice [30] directly positioned above the sample surface is not ideal for these thermal-ALD experiments using TMA/H2O. The wide temperature window of the process implies that the entire inner reactor surface will contribute to the byproduct signal in the QMS measurements as it is coated with alumina, limiting the sample specificity in this test case. This situation is critical if different reactions take place on different surfaces. Therefore, the shown QMS measurements prove the possibility of combining multiple characterization techniques simultaneously, not only for ALD-process characterization but also for diagnosing the experimental setup.

2.2. In Situ Characterization

To prove the relevance of the XPS technique for understanding the initial stages of growth, we shift to metallic Ti substrates in this subsection (thermally evaporated Ti films on Si wafers at RT, see Section 4), as we expect a more complex interaction between the substrate, film, and ALD precursors due to the high reactivity and facile oxidation of metallic Ti compared to SiOx/Si surfaces.
Although reliable ellipsometry measurements cannot be performed when using these substrates due to their relatively high roughness, quantitative XPS analysis using the same treatment as described before shows GPC values in the order of 1 Å/cycle, confirming that the T-ALD process remains basically the same. Moreover, Figure 3 shows the atomic concentration of Ti, O, Al, and C estimated from XPS measurements as a function of the total number of ALD cycles. As expected, we observe an exponential decrease in the Ti concentration and a simultaneous increase in the Al content. More interesting are the behaviors of oxygen and carbon. On the one hand, the RT growth shows an almost parallel increase in the O and Al signals, whereas at 200 °C the oxygen increases more quickly than the Al concentration until an O/Al ratio of 2.3 for both temperatures is reached, indicating an excess of oxygen in the alumina film probably due to a hydroxyl-terminated surface and potential diffusion of water molecules on the top layer [54,55,56]. The differences between the interface regions are related to the partial oxidation of the Ti substrate at higher temperatures, as described in the following paragraphs. On the other hand, the carbon concentration starts increasing in both cases (in line with the initial adsorption of TMA at the surface, as seen in the ellipsometry measurements in Figure 1a), almost disappearing afterward at high temperatures whereas it stabilizes at about 10% at RT, pointing to a lower efficiency of the oxidation step owing to the incomplete removal of the methyl ligands. Furthermore, the initial increase in carbon in both cases indicates that the reaction mechanism slightly differs between the heterodeposition, i.e., the reaction of the organometallic precursor with the Ti substrate, and the homodeposition (i.e., TMA on Al2O3) regimes. We will analyze these differences in more depth in the following paragraphs.
The evolution of the Ti oxidation state during the early stages of growth is shown in Figure 4. For comparison purposes, we first expose a bare Ti/SiOx/Si substrate (Ti film thickness is about 10 nm) at RT to H2O doses of the same duration as the H2O pulses during the T-ALD process and follow the changes in the Ti 2p XPS spectra (see Figure 4a). The initial surface is almost metallic, as clearly documented by an asymmetric Ti0 component at ~453.7 eV for the Ti 2p3/2 core level accompanied by a spin-orbit splitting (ΔE) of 6.05 eV along with tiny, symmetrical Ti2+ and Ti3+ 2p3/2 components at 455.3 and 457.1 eV, respectively (with corresponding ΔE of 5.6 and 5.2 eV) [57]. From the first H2O dose, we observe a gradual oxidation of the Ti surface, with increasing Ti2+ and Ti3+ components and two extra, symmetric Ti4+ components appearing (Ti 2p3/2 component at 458.8 eV with ΔE of 5.7 eV). The changes are clearly evident after 4 pulses, when the Ti4+ components, especially for the Ti 2p1/2 level, start to become visible. In contrast, during the T-ALD growth at RT (see Figure 4b), the Ti 2p region does not show any visible change regardless of the number of ALD cycles, particularly in the region where the Ti4+ components are expected. Moreover, the first pulse of TMA and subsequent chemisorption of the molecule on the Ti surface seems to passivate the substrate, limiting its oxidation. Figure 4c summarizes the Ti oxidation trend extracted from the XPS fitting of the Ti 2p spectra as a function of H2O dose (each cycle refers to an H2O pulse of 0.5 s; see Section 4). While the bare Ti surface is easily oxidized with H2O, drastically decreasing the metallic Ti0 component during the first 10 pulses, the Ti surfaces show more moderate oxidation during the T-ALD process, especially in the case of the RT growth. The differences between RT and 200 °C T-ALD depositions are likely related to the residual water in the ALD chamber prior to the growth (see also Figure 2), which could promote faster and deeper Ti oxidation during the heating ramp of the 200 °C growth (Figure 4c). Therefore, it can be inferred that the initial chemisorption of TMA creates a shielding layer against Ti oxidation, thus explaining why even ultrathin Al2O3 films can passivate surfaces, as shown in the case of Si substrates [58,59] or perovskites solar cells [60,61,62].
As discussed in Figure 3, the amount of carbon increases during the first ALD cycle and finally decreases and stabilizes from about 10 cycles. This evolution and the slower GPC identified above for the operando characterization constitute a fingerprint of the two regimes previously introduced, the hetero and homo-deposition stages. Figure 5 shows the spectral evolution of the XPS C 1s data for the two T-ALD processes at (a) RT and (b) 200 °C. The initial as-grown Ti surfaces show some carbon (<5 at%) from the residual gas within the preparation chamber (see Section 4), which is probably due to cross-contamination with the ALD reactor, as both chambers are (if only for a short time) connected during sample transfer to or from the analysis chamber. The XPS survey spectra exclude the presence of any other element resulting from the cross-contamination. Three components can be distinguished in the C 1s, the first at ~284.7 eV related to sp3 hybridization of C-C and C-H bonds [63,64,65], and the other two, labeled as TiC (~281.7) and TiC* (~282.5 eV), referred to as titanium carbide [66,67,68]. In particular, the TiC* component has been reported as an interfacial effect between the metallic carbide and the carbon deposits or attributed to a disordered structure [68]. As there seems to be a strong relationship between both TiC and TiC* components, we have fixed their relative intensity ratio, energy shift, and corresponding full width half maximum (FWHM) in the fitting process, thus understanding the changes in the C 1s spectra not from variations of the substrate carbide, but from the chemical interaction with the TMA and H2O. The first dose of TMA shows the appearance of two new components at ~286 eV and ~283.5 eV, associated with C-O [65,69] bonds and the TMA molecule adsorbed at the surface [70,71], respectively. We note that the TMA component, compared to the C-C peak, is more pronounced at RT than at 200 °C, which is likely related to the release of more methyl ligands at higher temperatures and probably also to the non-negligible amount of water due to the virtual leak mentioned previously. The second sub-cycle, i.e., the H2O dose, showcases how the oxidation step is more efficient at higher temperatures, featuring a completely disappearing TMA component, while a higher amount of carbon bonded to oxygen species remains at the surface. A similar trend is observed for the third TMA and H2O sub-cycles. Interestingly, after ten cycles, i.e., at a thickness of about 1 nm, the signal of TMA after a complete cycle is higher than after the first and third cycles, especially at RT, while the C-C component associated with residual carbon decreases. This intensity evolution indicates a potential interface effect on the reaction mechanism, related to a different amount of hydroxyl groups between the initial Ti surface and the grown alumina and, more complex, to the presence of side-reactions initially promoted by the Ti with the TMA [16]. A full understanding of the heterodeposition regime would require complementary operando measurements, such as infrared spectroscopy, as well as theoretical simulations. Finally, thick deposits (>10 nm) show the presence of only C-C component and tiny traces of C-O and aluminium carbonate (~290 eV) in both cases [72].
Commonly in the literature, particularly regarding ex situ XPS studies, the surface carbon residue is considered an indicator of the whole film quality. Nevertheless, previous data from Figure 3 and Figure 5 point to a more complex evolution of the carbon amount as a function of the total number of ALD cycles, the role of the substrate, and the ALD process conditions. Figure 6 shows the XPS (a) C 1s and (b) O 1s spectra of the as-grown ~10 nm Al2O3 ALD film on Ti at RT before and after 10 min of Ar+ sputtering. The carbon residue is located in the top surface region in the form of sp3-hybridized C-C and C-H bonds, whereas the bulk contains residual carbon bonded to oxygen (C-O and C=O species) and aluminum (carbonates, Al-O-C). The C1 s spectrum of the sputtered film prepared at RT resembles the spectra of the as-grown layer deposited at 200 °C with 120 ALD cycles, where the aluminate species were visible, and the residual C-C component was much lower. This similarity indicates that the intensity of the C-C component is inversely related to the efficiency of the TMA oxidation process, which is enhanced at higher temperatures. Similar results in terms of carbon species and their film distribution have previously been reported for plasma-enhanced ALD Al2O3 films [73]. The O 1s spectrum (Figure 6b) also reflects some changes after sputtering, decreasing the amount of OH groups and thus explaining the excess of oxygen estimated by XPS for the as-grown sample (see Figure 3) [73,74,75].
Up to this point, all the growths discussed in detail have been performed on flat substrates. Figure 7 depicts a cross-sectional TEM image of a 15 nm thick T-ALD Al2O3 film deposited at RT on a Si-based nanostructured substrate with varying distances between individual stripes, i.e., different aspect ratios. Particularly in the energy-dispersive X-ray compositional mapping of carbon (Figure 7c), we observe a significant influence of the nanostructures’ aspect ratio. With an increasing aspect ratio, i.e., with decreasing width while the height remains fixed, carbon residue accumulates in the pits, which becomes significantly higher than at the top of the structures. Similarly, the Al2O3 deposit is comparatively thicker in those high and narrow trenches. As indicated by V. Cremers and coworkers [2], these deviations from the ALD growth on flat surfaces are related to the mean free path of the reactant molecules and the design of the ALD reactor, which ultimately will determine the flow regime (molecular or viscous), with important consequences on the conformality and homogeneity of the ALD deposit.

3. Discussion

In the previous section, we analyzed the Al2O3 T-ALD process using TMA and H2O by combining operando and in situ characterization techniques in the same UHV cluster tool, following a classic surface science approach not so explored before by the majority of the ALD community.
In particular, both the results from spectroscopic ellipsometry and XPS point to the existence of different growth regimes depending on the total amount of ALD cycles and, therefore, on the interaction of the organometallic precursor with the substrate surface (if the ALD-deposited film is thin enough so there are significant interface effects), or with the surface of a film that may not be considered bulk-like. These two hetero and homo-deposition regimes are seen to affect the GPC and the efficiency of the ALD reaction mechanism, translating into higher carbon deposits and lower growth ratios near the interface. Precisely, these chemical differences can also affect the early stages of growth in terms of nucleation and growth delay, as has been extensively reported in the literature [14,22]. These facts are of particular relevance for ultrathin deposits (<10 nm), where the cross-interactions between the substrate, film, and ALD precursors play a key role in the final chemical and physical properties of ALD films. Given the ongoing drive towards device miniaturization, the combined expertise of the ALD and surface science communities should provide new insights that will promote our understanding of the substrate/film interaction, help us identify the role of the surface on the ALD reaction mechanism, and serve to answer the question how the ALD process could be tailored by controlling the surface properties of the substrate. The last issue requires sophisticated substrate surface preparation, which constitutes a highly demanding step (particularly regarding the conservation of clean surfaces until the organometallic dose). Hence, for this purpose, different approaches have been followed, employing single crystals [76,77], crystalline nanoparticles [78,79], or free-standing 2D materials [73,80], which may be combined with more complex and realistic substrates in view of potential applications. In this framework, in situ photoelectron spectroscopies, using both commercial X-ray and synchrotron radiation sources, play a fundamental role in accessing the elemental composition and oxidation states of the substrate, film, interfaces, and reaction intermediates on the surface. Furthermore, by comparing the defective, not well-ordered ALD deposits with their crystalline counterparts (e.g., epitaxial ultrathin films grown by molecular beam epitaxy), we will gain a better understanding of the role of defects in modifying the properties of thin ALD films.
Moreover, this systematic combination of the operando and in-situ approach also improves the reproducibility of the ALD process, making it possible to understand the influence of the ALD reactor design (or its malfunction) on the properties of the ALD deposit [22]. In this sense, the water excess identified based on the QMS measurements of the T-ALD process at 200 °C had important consequences on interpreting the XPS data. Instead of (erroneously) deducing that the TMA is decomposing at the surface, raising the amount of residual C-C and C-H due to a catalytic effect of the metallic Ti, we could establish that the excess of water is likely related to a virtual leak from the cold spots in the reactor walls that partially oxidize the TMA during the first ALD sub-cycle. Therefore, special attention must be paid to the characterization of ultrathin ALD deposits, relying on the combination of multiple characterization techniques to enable reliable cross-checking between them. Furthermore, the use of other equipment and techniques, such as a quartz crystal microbalance or infrared spectroscopy, would allow for an even more profound discussion in terms of film nucleation (e.g., up to what extent the lower GPC is due to a nucleation delay and thus incomplete coverage) or intermediates states under operando conditions without interrupting the growth process because of the need to transfer the sample, which may affect the exact deposition conditions (e.g., via decreasing the substrate temperature before transferring the sample to the XPS analyzer chamber and ramping it up afterward for continued deposition).
Finally, and related to the use of different types of substrates, we have shown how the properties of the ALD deposits, in terms of thickness and amount of residual carbon, depend on the substrate, not only in terms of chemical properties but on its morphology and, particularly, on the aspect ratio of nanostructures. Furthermore, the specific ALD reactor design also has a crucial influence on these processes, as extensively discussed by V. Cremers and coworkers [2]. Thus, the surface science approach applied to well-known, simple, and (usually) flat surfaces must be adapted to more realistic scenarios and applications where a complementary engineering approach is required to finally optimize the ALD processes by focusing on the flow regime, local pressure distribution, and reactor design.

4. Materials and Methods

4.1. ALD-XPS Cluster

The in situ ALD-XPS cluster comprises a homemade ALD reactor compatible with ultra-high vacuum conditions (UHV) attached to a state-of-the-art UHV-XPS system, see Figure 8.
The homemade ALD reactor consists of a stainless steel UHV-compatible chamber (Pfeiffer, Assla, Germany) with a base pressure of 10−8 mbar, which is directly attached to the preparation chamber of the XPS system. After the ALD growth, and once the pressure is below 10−7 mbar at the ALD reactor, the sample is transferred to the analysis chamber, which takes around 15 min. Continuing with the ALD reactor, the sample, 10 × 10 mm maximum in a conventional flag-type sample holder, is placed at the center (focal point) of the chamber, below the entrance of the reactive gases, held in a sample stage capable of heating the sample to a maximum temperature of 1000 °C by the use of a silicon nitride ceramic heater compatible with UHV conditions as well as atmospheric pressures and highly oxidative environments (343-HEATER-SIN-8X10, Allectra, Berlin, Germany). The temperature is monitored through a K-type thermocouple in close proximity to the sample. The temperature measured by the thermocouple is around 10% lower than that estimated on the surface of Si(100) by applying a temperature-dependent optical model on the ellipsometry measurements between 100 and 200 °C. The position of the sample can be precisely varied and controlled through a 3-axis linear manipulator with a θ rotator, including correction of the sample tilt with respect to the incident light beam from the ellipsometer. The spectroscopic ellipsometer (SER 801 UV-VIS, SENTECH, Berlin, Germany) is installed at 70° to the sample surface normal, as shown in Figure 8b. The stress-free viewports, where the ellipsometer arms are mounted, are protected by pneumatic shutters to minimize undesired coatings. The QMS (HAL/3F 301 RC, Hidden Analytical, Warrington, UK) is separated from the ALD reactor by an elbow gate valve and a blind DN40 CF flange with a 500 μm (⌀) aperture to constrain the pressure in the QMS area to <10−4 mbar via differential pumping (see Figure 8c). Depending on the total pressure applied during the ALD process (i.e., pump-type or flow-type mode), membranes of different porous sizes can be installed on the aperture to regulate the final pressure. The aperture is maintained at room temperature, stopping the chamber heating at the elbow gate valve. No condensation issues have been detected during these experiments. The QMS is differentially pumped through a secondary turbopump connected to the bypassed turbopump at the load-lock chamber (pump-type operation mode) or directly through the turbopump of the ALD reactor (flow-type operation mode). A scheme of both configurations is shown in Figure 9a. The ALD reactor chamber can be pumped in two ways: through a turbopump (67 L/s) or a scroll pump bypassing the turbopump (3.3 L/s), for the pump-type (10−4–10−3 mbar) and flow-type (1–10 mbar) operation modes, respectively (see Figure 9a). During growths performed at high temperatures, the reactor walls can be heated up to a maximum of 200 °C. The configuration of the ALD reactor chamber is highly flexible, allowing the installation of more instruments or the rearrangement of the existing ones.
The ALD gas lines are schematically represented in Figure 9b. The lines are based on VCR® components, allowing a quick modification if required and easy purging down to a base pressure of 10−7 mbar before the growth. The system comprises three lines for precursors (left line in Figure 9b), oxidants/reactants (right line), and purging gas (middle line), each regulated by a mass flow controller (F-111B 200, Bronkhorst, Ruurlo, The Netherlands) and connected to a shared N2 supply. The precursor line allows the installation of three different kinds of precursors depending on their vapor pressure (vp): high (the quantity of generated vapor is high enough to be pumped directly into the chamber without the use of a carrier gas, use of cylinder container), medium (the cylinder container output is connected to a 3-way pneumatic ALD valve to allow the use of carrier gas on its transportation to the reactor), and low (use of electropolished stainless steel bubbler). This configuration allows complex ALD super-cycles to deposit mixed compounds. Moreover, the oxidant/reactant line configuration follows a similar design, with three different sublines for H2O, O3/O2 (directly connected to an ozone generator fed with pure O2, OXP-30 Ozone Generator from Oxidation Technologies, Inwood, IA, USA), and a third subline allowing for the connection to other gas sources. Finally, the third line is used for purging, particularly during pump-type operation, when no carrier gas is used in the precursor and oxidant lines. The lines can be heated up to 90 °C using heating wires. The ALD process is controlled by ALD pneumatic valves (Swagelok, Berlin, Germany) using homemade LabVIEW-based (2020 SP1) software.
The X-ray photoelectron spectroscopy (XPS) system consists of a load-lock chamber (base pressure of high 10−8 mbar) with sample storage capability. The preparation chamber (10−9 mbar range) allows pre and post-growth treatments and/or experiments via sample heating (up to 300 °C), exposure to different gases through corresponding leak valves, and monitoring of atmosphere composition using a QMS (e-Vision 2 EV2-110-000FT, MKS, Munich, Germany). Several e-beam or Knudsen cell evaporators can also be installed (e.g., as the one used for the Ti evaporation). The XPS analysis chamber (10−10 mbar range) consists of an Omicron EA 125 hemispherical electron analyzer with a non-monochromatized twin X-ray anode (Al/Mg) and a five-channeltron detector for efficient counting. At a pass energy of 20 eV, the overall spectral resolution is about 1.1 and 1.0 eV, respectively. Finally, it is possible to transfer the ALD samples through the load-lock chamber in a vacuum suitcase to a glovebox or other UHV systems at our home lab facilities for additional treatments and/or characterization (low energy electron diffraction (LEED), ultraviolet photoelectron spectroscopy (UPS), hard X-ray photoelectron spectroscopy (HAXPES), and scanning probe microscopy (SPM)).
Our lab facilities count on a second ex situ ALD reactor with the same kind of gas line configuration and operation modes. This system allows simultaneous growth on several substrates or 2-inch wafers when the deposition recipe is well established using the more complex in situ ALD-XPS cluster.

4.2. Thermal ALD Process

The alumina (Al2O3) films were deposited using thermal ALD (T-ALD) using the commercial ALD precursor trimethylaluminum (TMA) from Sigma Aldrich (Taufkirchen, Germany), in combination with ultrapure water from Alfa Aesar, spectrophotometric degree. The ALD reactor operates in pump-type mode using N2 (99.9999%, Air Liquide) as purging gas (at a pressure of 10−2 mbar) between precursor (10−4 mbar) and oxidant (10−3 mbar) pulses and controlled by an independent mass-flow controller (F-111B 200, Bronkhorst, Ruurlo, The Netherlands). The TMA and water containers were kept at RT during the growths, providing sufficient vapor pressure to pump the precursor and the reactant directly into the reactor using the reactor turbopump (see Figure 9). During the growth at 200 °C, the temperature of the precursor and H2O lines were kept at 90 °C, whereas the walls of the ALD reactor were ramped up to 120 °C. The substrate temperature was set to ~25 °C (RT) and 200 °C, respectively. The ALD recipe consisted of a 0.5 s TMA pulse followed by 0.5 s of N2 purging flow, continued by an H2O dose of 0.5 s and subsequent 0.5 s of N2 for purging. The reactor was purged for 15 s between cycles by pumping with the turbopump (<3·10−5 mbar). The N2 flux was set to 60 sccm. The gas input was regulated by ALD pneumatic valves (Swagelok, Berlin, Germany) controlled by LabVIEW-based (2020 SP1) software. As discussed in Section 2, once the growth is stabilized, the estimated average growth per cycle (GPC) is 0.9 ± 0.1 Å/cycle. Two types of substrates were used. The first was p-type Si (100) single crystals cut from 3″ wafers covered by native oxide (SiOx/Si) from CrysTec. Prior to the ALD deposition, they were annealed at 250 °C in UHV to remove adventitious carbon and subsequently characterized using XPS. The second substrate consisted of Ti thin films deposited by thermal evaporation at RT under UHV conditions in the preparation chamber (see Figure 8) on similar SiOx/Si wafer pieces. Before the ALD deposition, the Ti/SiOx/Si substrates were also routinely characterized using XPS.

4.3. ALD Film Characterization

The operando characterization of the T-ALD process was performed using spectroscopic ellipsometry, SER 801 model from SENTECH, and quadrupole mass spectrometry, HAL/3F 301 RC from Hiden Analytical. The bare SiOx/Si substrate was used for the ellipsometry measurements, performed with a maximum spectral range from 240 to 1000 nm (UV-VIS). The ellipsometric modeling and parameter fitting were performed with the SpectraRay/4 (6.0.8.2) software, considering an air/Al2O3/SiOx/Si multilayer system where the atomic layer deposited alumina was fitted using a Cauchy model, and the initial thickness of the native oxide was set to 2.3 nm, as extracted from an as-introduced SiOx/Si reference sample. The QMS was operated in multiple ion detection mode (MID), following the CH4 (m/z = 16), H2O (m/z = 18), O2 (m/z = 32), and TMA (m/z = 57) signals as a function of time.
In situ X-ray photoelectron spectroscopy measurements (XPS) were performed with an Omicron EA 125 hemispherical electron analyzer using non-monochromatized Mg Kα radiation. The pass energy was set to 20 eV, yielding an overall spectral resolution of about 1.0 eV. The sample charging was corrected considering the Si 2p (Si0) and Ti 2p (Ti0) contributions from the SiOx/Si and Ti/SiOx/Si substrates as an internal reference, respectively. The spectra have been fitted using the XPSPeak software, version 4.1, whereas the electron inelastic mean free path (IMFP) through the alumina matrix was calculated using the Tanuma, Powell, and Penn formula IMFP-TPP2M [81]. As-grown ~10 nm thick Al2O3 films were gently sputtered by Ar+ cations (cold cathode ion source ISE 5, Scienta Omicron, Taunusstein, Germany) with an accelerating voltage of 500 eV at a pressure of 4 × 10−6 mbar, yielding an estimated sputter rate of around 0.3 nm/min.
The Al2O3 films were finally characterized ex situ using transmission electron microscopy (TEM) and energy dispersive X-ray spectroscopy (EDX), performed with an FEI Tecnai Osiris instrument operated at 200 kV, using nanostructured Si substrates fabricated at the Leibniz-Institut für innovative Mikroelektronik (IHP).

5. Conclusions

We have presented a new in situ ultra-high vacuum cluster tool where multiple operando (spectroscopic ellipsometry and quadrupole mass spectrometry) and in situ (X-ray photoelectron spectroscopy) techniques are combined to characterize the early stages of growth of atomic layer deposited films.
To show the capabilities of the new system, we have revisited the well-known thermal ALD growth of Al2O3 using TMA and H2O, with particular emphasis on distinguishing the hetero and homo-deposition regimes. Indeed, we find that the ALD reaction mechanism is slightly modified in terms of TMA oxidation efficiency and growth per cycle for deposits up to 10 nm. In contrast to what is generally believed, the ALD reaction mechanism critically depends on the nature of the surface, particularly on the reactivity between the selected precursor and the bare substrate and, subsequently, with the ALD deposit. The growth might be influenced, especially for ultra-thin films, by the cross-interaction between the film and substrate. Moreover, the combination of multiple characterization techniques allows a reliable cross-check between them, enabling us to characterize the ALD process and diagnose possible malfunctions of the ALD reactor at the same time.
The operando and in situ characterization of ALD materials using a more traditional surface science approach allows for studying the complex interactions between the substrate, film, and reactants as well as the inter-relation with ALD process parameters in a systematic manner, thereby opening the door to a deep understanding of the relationship between the substrates and ALD reaction mechanism during the early stages of growth. The precise control of the substrate surface properties and its interface with the ALD material will allow tailoring the ALD film properties and optimizing the ALD process by rational design, ultimately decreasing the amount of wasted precursor and associated costs, thus paving the way for using ALD more effectively in existing and new application areas.

Author Contributions

Conceptualization, C.M. and J.I.F.; methodology, C.M. and J.I.F.; validation, C.M., A.M., R.T. and J.K.; formal analysis, C.M., A.M., R.T. and J.K.; investigation, C.M., A.M., R.T. and J.K.; resources, C.W., K.H. and J.I.F.; data curation, C.M., A.M., R.T., J.K., C.A.C., M.A.S. and K.H.; writing—original draft preparation, C.M.; writing—review and editing, K.H. and J.I.F.; supervision, J.I.F.; project administration, J.I.F.; funding acquisition, K.H., C.W. and J.I.F. All authors have read and agreed to the published version of the manuscript.

Funding

This work has been funded by the Federal Ministry of Education and Research of Germany (BMBF) within the iCampus2 project, grant number 16ME0420K, and the European Regional Development Fund (ERDF 2014-2020), contract number 85053620. C. Morales thanks the Postdoc Network Brandenburg for a PNB individual grant. R. Tschammer acknowledges the support by BTU/BAM in the framework of the BTU-BAM Graduate School »Trustworthy Hydrogen«.

Data Availability Statement

Raw data are available upon request.

Acknowledgments

We thank Guido Beuckert for the technical support.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Coll, M.; Napari, M. Atomic Layer Deposition of Functional Multicomponent Oxides. APL Mater. 2019, 7, 110901. [Google Scholar] [CrossRef]
  2. Cremers, V.; Puurunen, R.L.; Dendooven, J. Conformality in Atomic Layer Deposition: Current Status Overview of Analysis and Modelling. Appl. Phys. Rev. 2019, 6, 021302. [Google Scholar] [CrossRef]
  3. Oviroh, P.O.; Akbarzadeh, R.; Pan, D.; Coetzee, R.A.M.; Jen, T.-C. New Development of Atomic Layer Deposition: Processes, Methods and Applications. Sci. Technol. Adv. Mater. 2019, 20, 465–496. [Google Scholar] [CrossRef] [PubMed]
  4. Vasiliev, V.Y. Composition, Structure, and Functional Properties of Thin Silicon Nitride Films Grown by Atomic Layer Deposition for Microelectronic Applications (Review of 25 Years of Research). J. Struct. Chem. 2022, 63, 1019–1050. [Google Scholar] [CrossRef]
  5. Alvaro, E.; Yanguas-Gil, A. Characterizing the Field of Atomic Layer Deposition: Authors, Topics, and Collaborations. PLoS ONE 2018, 13, e0189137. [Google Scholar] [CrossRef] [PubMed]
  6. Xing, Z.; Xiao, J.; Hu, T.; Meng, X.; Li, D.; Hu, X.; Chen, Y. Atomic Layer Deposition of Metal Oxides in Perovskite Solar Cells: Present and Future. Small Methods 2020, 4, 2000588. [Google Scholar] [CrossRef]
  7. Ghosh, S.; Yadav, R. Future of Photovoltaic Technologies: A Comprehensive Review. Sustain. Energy Technol. Assess. 2021, 47, 101410. [Google Scholar] [CrossRef]
  8. Marichy, C.; Pinna, N. Atomic Layer Deposition to Materials for Gas Sensing Applications. Adv. Mater. Interfaces 2016, 3, 1600335. [Google Scholar] [CrossRef]
  9. Xu, H.; Akbari, M.K.; Kumar, S.; Verpoort, F.; Zhuiykov, S. Atomic Layer Deposition—State-of-the-Art Approach to Nanoscale Hetero-Interfacial Engineering of Chemical Sensors Electrodes: A Review. Sens. Actuators B Chem. 2021, 331, 129403. [Google Scholar] [CrossRef]
  10. Cao, K.; Cai, J.; Liu, X.; Chen, R. Review Article: Catalysts Design and Synthesis via Selective Atomic Layer Deposition. J. Vac. Sci. Technol. A Vac. Surf. Films 2018, 36, 010801. [Google Scholar] [CrossRef]
  11. Xu, D.; Yin, J.; Gao, Y.; Zhu, D.; Wang, S. Atomic-Scale Designing of Zeolite Based Catalysts by Atomic Layer Deposition. ChemPhysChem 2021, 22, 1287–1301. [Google Scholar] [CrossRef]
  12. Zhao, Z.; Kong, Y.; Zhang, Z.; Huang, G.; Mei, Y. Atomic Layer–Deposited Nanostructures and Their Applications in Energy Storage and Sensing. J. Mater. Res. 2020, 35, 701–719. [Google Scholar] [CrossRef]
  13. Zhao, Y.; Zhang, L.; Liu, J.; Adair, K.; Zhao, F.; Sun, Y.; Wu, T.; Bi, X.; Amine, K.; Lu, J.; et al. Atomic/Molecular Layer Deposition for Energy Storage and Conversion. Chem. Soc. Rev. 2021, 50, 3889–3956. [Google Scholar] [CrossRef]
  14. Richey, N.E.; de Paula, C.; Bent, S.F. Understanding Chemical and Physical Mechanisms in Atomic Layer Deposition. J. Chem. Phys. 2020, 152, 040902. [Google Scholar] [CrossRef]
  15. Chen, R.; Kim, H.; McIntyre, P.C.; Porter, D.W.; Bent, S.F. Achieving Area-Selective Atomic Layer Deposition on Patterned Substrates by Selective Surface Modification. Appl. Phys. Lett. 2005, 86, 191910. [Google Scholar] [CrossRef]
  16. Elliott, S.D. Atomic-Scale Simulation of ALD Chemistry. Semicond. Sci. Technol. 2012, 27, 074008. [Google Scholar] [CrossRef]
  17. Mackus, A.J.; Merkx, M.J.; Kessels, W.M. From the Bottom-up: Toward Area-Selective Atomic Layer Deposition with High Selectivity. Chem. Mater. 2018, 31, 2–12. [Google Scholar] [CrossRef]
  18. Devi, A. ‘Old Chemistries’ for New Applications: Perspectives for Development of Precursors for MOCVD and ALD Applications. Coord. Chem. Rev. 2013, 257, 3332–3384. [Google Scholar] [CrossRef]
  19. Hatanpää, T.; Ritala, M.; Leskelä, M. Precursors as Enablers of ALD Technology: Contributions from University of Helsinki. Coord. Chem. Rev. 2013, 257, 3297–3322. [Google Scholar] [CrossRef]
  20. Shahmohammadi, M.; Mukherjee, R.; Takoudis, C.G.; Diwekar, U.M. Optimal Design of Novel Precursor Materials for the Atomic Layer Deposition Using Computer-Aided Molecular Design. Chem. Eng. Sci. 2021, 234, 116416. [Google Scholar] [CrossRef]
  21. Oh, I.-K.; Sandoval, T.E.; Liu, T.-L.; Richey, N.E.; Bent, S.F. Role of Precursor Choice on Area-Selective Atomic Layer Deposition. Chem. Mater. 2021, 33, 3926–3935. [Google Scholar] [CrossRef]
  22. Sønsteby, H.H.; Yanguas-Gil, A.; Elam, J.W. Consistency and Reproducibility in Atomic Layer Deposition. J. Vac. Sci. Technol. A Vac. Surf. Films 2020, 38, 020804. [Google Scholar] [CrossRef]
  23. Elam, J.W.; Schuisky, M.; Ferguson, J.D.; George, S.M. Surface Chemistry and Film Growth during TiN Atomic Layer Deposition Using TDMAT and NH3. Thin Solid. Films 2003, 436, 145–156. [Google Scholar] [CrossRef]
  24. Meng, X.; Cao, Y.; Libera, J.A.; Elam, J.W. Atomic Layer Deposition of Aluminum Sulfide: Growth Mechanism and Electrochemical Evaluation in Lithium-Ion Batteries. Chem. Mater. 2017, 29, 9043–9052. [Google Scholar] [CrossRef]
  25. Nieminen, H.-E.; Chundak, M.; Heikkilä, M.J.; Kärkkäinen, P.R.; Vehkamäki, M.; Putkonen, M.; Ritala, M. In Vacuo Cluster Tool for Studying Reaction Mechanisms in Atomic Layer Deposition and Atomic Layer Etching Processes. J. Vac. Sci. Technol. A 2023, 41, 022401. [Google Scholar] [CrossRef]
  26. Schmidt, D.; Strehle, S.; Albert, M.; Hentsch, W.; Bartha, J.W. Top Injection Reactor Tool with in Situ Spectroscopic Ellipsometry for Growth and Characterization of ALD Thin Films. Microelectron. Eng. 2008, 85, 527–533. [Google Scholar] [CrossRef]
  27. Naumann, F.; Reck, J.; Gargouri, H.; Gruska, B.; Blümich, A.; Mahmoodinezhad, A.; Janowitz, C.; Henkel, K.; Flege, J.I. In Situ Real-Time and Ex Situ Spectroscopic Analysis of Al2O3 Films Prepared by Plasma Enhanced Atomic Layer Deposition. J. Vac. Sci. Technol. B 2020, 38, 014014. [Google Scholar] [CrossRef]
  28. Sønsteby, H.H.; Bratvold, J.E.; Weibye, K.; Fjellvåg, H.; Nilsen, O. Phase Control in Thin Films of Layered Cuprates. Chem. Mater. 2018, 30, 1095–1101. [Google Scholar] [CrossRef]
  29. Juppo, M.; Rahtu, A.; Ritala, M.; Leskelä, M. In Situ Mass Spectrometry Study on Surface Reactions in Atomic Layer Deposition of Al2O3 Thin Films from Trimethylaluminum and Water. Langmuir 2000, 16, 4034–4039. [Google Scholar] [CrossRef]
  30. Matero, R.; Rahtu, A.; Ritala, M. In Situ Quadrupole Mass Spectrometry and Quartz Crystal Microbalance Studies on the Atomic Layer Deposition of Titanium Dioxide from Titanium Tetrachloride and Water. Chem. Mater. 2001, 13, 4506–4511. [Google Scholar] [CrossRef]
  31. Goldstein, D.N.; McCormick, J.A.; George, S.M. Al2O3 Atomic Layer Deposition with Trimethylaluminum and Ozone Studied by in Situ Transmission FTIR Spectroscopy and Quadrupole Mass Spectrometry. J. Phys. Chem. C 2008, 112, 19530–19539. [Google Scholar] [CrossRef]
  32. Cabrera, W.; Halls, M.D.; Povey, I.M.; Chabal, Y.J. Surface Oxide Characterization and Interface Evolution in Atomic Layer Deposition of Al2O3 on InP(100) Studied by in Situ Infrared Spectroscopy. J. Phys. Chem. C 2014, 118, 5862–5871. [Google Scholar] [CrossRef]
  33. Kokkonen, E.; Kaipio, M.; Nieminen, H.-E.; Rehman, F.; Miikkulainen, V.; Putkonen, M.; Ritala, M.; Huotari, S.; Schnadt, J.; Urpelainen, S. Ambient Pressure X-ray Photoelectron Spectroscopy Setup for Synchrotron-Based In Situ and Operando Atomic Layer Deposition Research. Rev. Sci. Instrum. 2022, 93, 013905. [Google Scholar] [CrossRef] [PubMed]
  34. Shavorskiy, A.; Kokkonen, E.; Redekop, E.; D’Acunto, G.; Schnadt, J.; Knudsen, J. Time-Resolved APXPS with Chemical Potential Perturbations: Recent Developments at the MAX IV Laboratory. Synchrotron Radiat. News 2022, 35, 4–10. [Google Scholar] [CrossRef]
  35. D’Acunto, G.; Shayesteh, P.; Kokkonen, E.; Boix De La Cruz, V.; Rehman, F.; Mosahebfard, Z.; Lind, E.; Schnadt, J.; Timm, R. Time Evolution of Surface Species during the ALD of High-k Oxide on InAs. Surf. Interfaces 2023, 39, 102927. [Google Scholar] [CrossRef]
  36. Head, A.R.; Chaudhary, S.; Olivieri, G.; Bournel, F.; Andersen, J.N.; Rochet, F.; Gallet, J.-J.; Schnadt, J. Near Ambient Pressure X-ray Photoelectron Spectroscopy Study of the Atomic Layer Deposition of TiO2 on RuO2 (110). J. Phys. Chem. C 2016, 120, 243–251. [Google Scholar] [CrossRef]
  37. Temperton, R.H.; Gibson, A.; O’Shea, J.N. In Situ XPS Analysis of the Atomic Layer Deposition of Aluminium Oxide on Titanium Dioxide. Phys. Chem. Chem. Phys. 2019, 21, 1393–1398. [Google Scholar] [CrossRef]
  38. Strehle, S.; Schumacher, H.; Schmidt, D.; Knaut, M.; Albert, M.; Bartha, J.W. Effect of Wet Chemical Substrate Pretreatment on the Growth Behavior of Ta(N) Films Deposited by Thermal ALD. Microelectron. Eng. 2008, 85, 2064–2067. [Google Scholar] [CrossRef]
  39. Fukumizu, H.; Sekine, M.; Hori, M.; McIntyre, P.C. Initial Growth Analysis of ALD Al2O3 Film on Hydrogen-Terminated Si Substrate via in Situ XPS. Jpn. J. Appl. Phys. 2020, 59, 016504. [Google Scholar] [CrossRef]
  40. Tallarida, M.; Karavaev, K.; Schmeisser, D. The Initial Atomic Layer Deposition of HfO2/Si(001) as Followed In Situ by Synchrotron Radiation Photoelectron Spectroscopy. J. Appl. Phys. 2008, 104, 064116. [Google Scholar] [CrossRef]
  41. Kolanek, K.; Tallarida, M.; Michling, M.; Schmeisser, D. In Situ Study of the Atomic Layer Deposition of HfO2 on Si. J. Vac. Sci. Technol. A Vac. Surf. Films 2012, 30, 01A143. [Google Scholar] [CrossRef]
  42. Tallarida, M.; Schmeisser, D. In Situ ALD Experiments with Synchrotron Radiation Photoelectron Spectroscopy. Semicond. Sci. Technol. 2012, 27, 074010. [Google Scholar] [CrossRef]
  43. Devloo-Casier, K.; Ludwig, K.F.; Detavernier, C.; Dendooven, J. In Situ Synchrotron Based X-ray Techniques as Monitoring Tools for Atomic Layer Deposition. J. Vac. Sci. Technol. A Vac. Surf. Films 2014, 32, 010801. [Google Scholar] [CrossRef]
  44. Dingemans, G.; Kessels, W.M.M. Status and Prospects of Al2O3-Based Surface Passivation Schemes for Silicon Solar Cells. J. Vac. Sci. Technol. A Vac. Surf. Films 2012, 30, 040802. [Google Scholar] [CrossRef]
  45. Zardetto, V.; Williams, B.L.; Perrotta, A.; Di Giacomo, F.; Verheijen, M.A.; Andriessen, R.; Kessels, W.M.M.; Creatore, M. Atomic Layer Deposition for Perovskite Solar Cells: Research Status, Opportunities and Challenges. Sustain. Energy Fuels 2017, 1, 30–55. [Google Scholar] [CrossRef]
  46. Banerjee, S.; Das, M.K. A Review of Al2O3 as Surface Passivation Material with Relevant Process Technologies on C-Si Solar Cell. Opt. Quant. Electron. 2021, 53, 60. [Google Scholar] [CrossRef]
  47. Ghosh, S.; Pariari, D.; Behera, T.; Boix, P.P.; Ganesh, N.; Basak, S.; Vidhan, A.; Sarda, N.; Mora-Seró, I.; Chowdhury, A.; et al. Buried Interface Passivation of Perovskite Solar Cells by Atomic Layer Deposition of Al2O3. ACS Energy Lett. 2023, 8, 2058–2065. [Google Scholar] [CrossRef]
  48. Mahmoodinezhad, A.; Janowitz, C.; Naumann, F.; Plate, P.; Gargouri, H.; Henkel, K.; Schmeißer, D.; Flege, J.I. Low-Temperature Growth of Gallium Oxide Thin Films by Plasma-Enhanced Atomic Layer Deposition. J. Vac. Sci. Technol. A Vac. Surf. Films 2020, 38, 022404. [Google Scholar] [CrossRef]
  49. Briggs, D.; Seah, M.P. (Eds.) Practical Surface Analysis, 2nd ed.; Chapter 5; Wiley: New York, NY, USA, 1990; Volume 1, ISBN 978-0-471-92081-6. [Google Scholar]
  50. Vandalon, V.; Kessels, W.M.M. What Is Limiting Low-Temperature Atomic Layer Deposition of Al2O3? A Vibrational Sum-Frequency Generation Study. Appl. Phys. Lett. 2016, 108, 011607. [Google Scholar] [CrossRef]
  51. Sperling, B.A.; Kalanyan, B.; Maslar, J.E. Atomic Layer Deposition of Al2O3 Using Trimethylaluminum and H2O: The Kinetics of the H2O Half-Cycle. J. Phys. Chem. C 2020, 124, 3410–3420. [Google Scholar] [CrossRef]
  52. Gu, B.; Le Trinh, N.; Nguyen, C.T.; Yasmeen, S.; Gaiji, H.; Kang, Y.; Lee, H.-B.-R. Computational Modeling of Physical Surface Reactions of Precursors in Atomic Layer Deposition by Monte Carlo Simulations on a Home Desktop Computer. Chem. Mater. 2022, 34, 7635–7649. [Google Scholar] [CrossRef]
  53. Puurunen, R.L. Surface Chemistry of Atomic Layer Deposition: A Case Study for the Trimethylaluminum/Water Process. J. Appl. Phys. 2005, 97, 121301. [Google Scholar] [CrossRef]
  54. Renault, O.; Gosset, L.G.; Rouchon, D.; Ermolieff, A. Angle-Resolved X-ray Photoelectron Spectroscopy of Ultrathin Al2O3 Films Grown by Atomic Layer Deposition. J. Vac. Sci. Technol. A Vac. Surf. Films 2002, 20, 1867–1876. [Google Scholar] [CrossRef]
  55. Zhang, L.; Jiang, H.C.; Liu, C.; Dong, J.W.; Chow, P. Annealing of Al2O3 Thin Films Prepared by Atomic Layer Deposition. J. Phys. D Appl. Phys. 2007, 40, 3707–3713. [Google Scholar] [CrossRef]
  56. Kääriäinen, T.O.; Cameron, D.C. Plasma-Assisted Atomic Layer Deposition of Al2O3 at Room Temperature. Plasma Process. Polym. 2009, 6, S237–S241. [Google Scholar] [CrossRef]
  57. Biesinger, M.C.; Lau, L.W.M.; Gerson, A.R.; Smart, R.S.C. Resolving Surface Chemical States in XPS Analysis of First Row Transition Metals, Oxides and Hydroxides: Sc, Ti, V, Cu and Zn. Appl. Surf. Sci. 2010, 257, 887–898. [Google Scholar] [CrossRef]
  58. Richter, A.; Benick, J.; Hermle, M.; Glunz, S.W. Excellent Silicon Surface Passivation with 5 Å Thin ALD Al2O3 Layers: Influence of Different Thermal Post-Deposition Treatments: Excellent Silicon Surface Passivation with 5 Å Thin ALD Al2O3 Layers: Influence of Different Thermal Post-Deposition Treatments. Phys. Status Solidi RRL 2011, 5, 202–204. [Google Scholar] [CrossRef]
  59. Pain, S.L.; Khorani, E.; Niewelt, T.; Wratten, A.; Paez Fajardo, G.J.; Winfield, B.P.; Bonilla, R.S.; Walker, M.; Piper, L.F.J.; Grant, N.E.; et al. Electronic Characteristics of Ultra-Thin Passivation Layers for Silicon Photovoltaics. Adv. Mater. Inter. 2022, 9, 2201339. [Google Scholar] [CrossRef]
  60. Kot, M.; Das, C.; Wang, Z.; Henkel, K.; Rouissi, Z.; Wojciechowski, K.; Snaith, H.J.; Schmeisser, D. Room-Temperature Atomic Layer Deposition of Al2O3: Impact on Efficiency, Stability and Surface Properties in Perovskite Solar Cells. ChemSusChem 2016, 9, 3401–3406. [Google Scholar] [CrossRef]
  61. Ramos, F.J.; Maindron, T.; Béchu, S.; Rebai, A.; Frégnaux, M.; Bouttemy, M.; Rousset, J.; Schulz, P.; Schneider, N. Versatile Perovskite Solar Cell Encapsulation by Low-Temperature ALD-Al2O3 with Long-Term Stability Improvement. Sustain. Energy Fuels 2018, 2, 2468–2479. [Google Scholar] [CrossRef]
  62. Kruszyńska, J.; Ostapko, J.; Ozkaya, V.; Surucu, B.; Szawcow, O.; Nikiforow, K.; Hołdyński, M.; Tavakoli, M.M.; Yadav, P.; Kot, M.; et al. Atomic Layer Engineering of Aluminum-Doped Zinc Oxide Films for Efficient and Stable Perovskite Solar Cells. Adv. Mater. Inter. 2022, 9, 2200575. [Google Scholar] [CrossRef]
  63. Schier, V.; Michel, H.-J.; Halbritter, J. ARXPS-Analysis of Sputtered TiC, SiC and Ti0.5Si0.5C Layers. Fresenius J. Anal. Chem. 1993, 346, 227–232. [Google Scholar] [CrossRef]
  64. Rousseau, B.; Estrade-Szwarckopf, H.; Thomann, A.-L.; Brault, P. Stable C-Atom Displacements on HOPG Surface under Plasma Low-Energy Argon-Ion Bombardment. Appl. Phys. A 2003, 77, 591–597. [Google Scholar] [CrossRef]
  65. Morales, C.; Díaz-Fernández, D.; Mossanek, R.J.O.; Abbate, M.; Méndez, J.; Pérez-Dieste, V.; Escudero, C.; Rubio-Zuazo, J.; Prieto, P.; Soriano, L. Controlled Ultra-Thin Oxidation of Graphite Promoted by Cobalt Oxides: Influence of the Initial 2D CoO Wetting Layer. Appl. Surf. Sci. 2020, 509, 145118. [Google Scholar] [CrossRef]
  66. Luthin, J.; Plank, H.; Roth, J.; Linsmeier, C. Ion Beam-Induced Carbide Formation at the the Titanium–Carbon Interface. Nucl. Instrum. Methods Phys. Res. Sect. B Beam Interact. Mater. At. 2001, 182, 218–226. [Google Scholar] [CrossRef]
  67. Luthin, J.; Linsmeier, C. Characterization of Electron Beam Evaporated Carbon Films and Compound Formation on Titanium and Silicon. Phys. Scr. 2001, T91, 134. [Google Scholar] [CrossRef]
  68. Lewin, E.; Persson, P.O.Å.; Lattemann, M.; Stüber, M.; Gorgoi, M.; Sandell, A.; Ziebert, C.; Schäfers, F.; Braun, W.; Halbritter, J.; et al. On the Origin of a Third Spectral Component of C1s XPS-Spectra for Nc-TiC/a-C Nanocomposite Thin Films. Surf. Coat. Technol. 2008, 202, 3563–3570. [Google Scholar] [CrossRef]
  69. Yang, D.; Velamakanni, A.; Bozoklu, G.; Park, S.; Stoller, M.; Piner, R.D.; Stankovich, S.; Jung, I.; Field, D.A.; Ventrice, C.A.; et al. Chemical Analysis of Graphene Oxide Films after Heat and Chemical Treatments by X-ray Photoelectron and Micro-Raman Spectroscopy. Carbon 2009, 47, 145–152. [Google Scholar] [CrossRef]
  70. Lubben, D.; Motooka, T.; Greene, J.E.; Wendelken, J.F.; Sundgren, J.-E.; Salaneck, W.R. Xps, Ups, and Hreels Studies of Excimer-Laser-Induced Dissociation of Al2 (Ch3) Adsorbed on Si(100) Surfaces. MRS Proc. 1987, 101, 151. [Google Scholar] [CrossRef]
  71. Strongin, D.R.; Moore, J.F.; Ruckman, M.W. Synchrotron Radiation Assisted Deposition of Aluminum Oxide from Condensed Layers of Trimethylaluminum and Water at 78 K. Appl. Phys. Lett. 1992, 61, 729–731. [Google Scholar] [CrossRef]
  72. Gougousi, T.; Barua, D.; Young, E.D.; Parsons, G.N. Metal Oxide Thin Films Deposited from Metal Organic Precursors in Supercritical CO2 Solutions. Chem. Mater. 2005, 17, 5093–5100. [Google Scholar] [CrossRef]
  73. Lu, Y.-H.; Morales, C.; Zhao, X.; Van Spronsen, M.A.; Baskin, A.; Prendergast, D.; Yang, P.; Bechtel, H.A.; Barnard, E.S.; Ogletree, D.F.; et al. Ultrathin Free-Standing Oxide Membranes for Electron and Photon Spectroscopy Studies of Solid–Gas and Solid–Liquid Interfaces. Nano Lett. 2020, 20, 6364–6371. [Google Scholar] [CrossRef] [PubMed]
  74. Gosset, L.G.; Damlencourt, J.-F.; Renault, O.; Rouchon, D.; Holliger, P.; Ermolieff, A.; Trimaille, I.; Ganem, J.-J.; Martin, F.; Séméria, M.-N. Interface and Material Characterization of Thin Al2O3 Layers Deposited by ALD Using TMA/H2O. J. Non-Cryst. Solids 2002, 303, 17–23. [Google Scholar] [CrossRef]
  75. Haeberle, J.; Henkel, K.; Gargouri, H.; Naumann, F.; Gruska, B.; Arens, M.; Tallarida, M.; Schmeißer, D. Ellipsometry and XPS Comparative Studies of Thermal and Plasma Enhanced Atomic Layer Deposited Al2O3-Films. Beilstein J. Nanotechnol. 2013, 4, 732–742. [Google Scholar] [CrossRef] [PubMed]
  76. Gharachorlou, A.; Detwiler, M.D.; Gu, X.-K.; Mayr, L.; Klötzer, B.; Greeley, J.; Reifenberger, R.G.; Delgass, W.N.; Ribeiro, F.H.; Zemlyanov, D.Y. Trimethylaluminum and Oxygen Atomic Layer Deposition on Hydroxyl-Free Cu(111). ACS Appl. Mater. Interfaces 2015, 7, 16428–16439. [Google Scholar] [CrossRef]
  77. Paul, R.; Reifenberger, R.G.; Fisher, T.S.; Zemlyanov, D.Y. Atomic Layer Deposition of FeO on Pt(111) by Ferrocene Adsorption and Oxidation. Chem. Mater. 2015, 27, 5915–5924. [Google Scholar] [CrossRef]
  78. Cao, K.; Shi, L.; Gong, M.; Cai, J.; Liu, X.; Chu, S.; Lang, Y.; Shan, B.; Chen, R. Nanofence Stabilized Platinum Nanoparticles Catalyst via Facet-Selective Atomic Layer Deposition. Small 2017, 13, 1700648. [Google Scholar] [CrossRef]
  79. Li, H.; Yu, P.; Lei, R.; Yang, F.; Wen, P.; Ma, X.; Zeng, G.; Guo, J.; Toma, F.M.; Qiu, Y.; et al. Facet-Selective Deposition of Ultrathin Al2O3 on Copper Nanocrystals for Highly Stable CO2 Electroreduction to Ethylene. Angew. Chem. Int. Ed. 2021, 60, 24838–24843. [Google Scholar] [CrossRef]
  80. Hong, H.-K.; Jo, J.; Hwang, D.; Lee, J.; Kim, N.Y.; Son, S.; Kim, J.H.; Jin, M.-J.; Jun, Y.C.; Erni, R.; et al. Atomic Scale Study on Growth and Heteroepitaxy of ZnO Monolayer on Graphene. Nano Lett. 2017, 17, 120–127. [Google Scholar] [CrossRef]
  81. Tanuma, S.; Powell, C.J.; Penn, D.R. Calculations of Electron Inelastic Mean Free Paths. V. Data for 14 Organic Compounds over the 50–2000 eV Range. Surf. Interface Anal. 1994, 21, 165–176. [Google Scholar] [CrossRef]
Figure 1. (a) Spectroscopic ellipsometry measurements showing the thickness evolution of T-ALD Al2O3 on Si wafers using TMA and H2O at room temperature. The dashed red and blue lines (with the corresponding values) show the fit performed for the first 60 and 10 cycles, respectively. The semi-transparent blue box indicates the first 10 cycles where the corresponding fit is performed. The top left inset depicts a magnified view of the ellipsometric data, showing the step-like behavior of the ALD process and indicating the TMA, N2, and H2O doses (time has been set to 0 at the beginning of the cycle). The bottom right inset shows a cross-sectional TEM image of a Si-based nanostructured substrate with a 5 nm thick Al2O3 layer deposited under the same conditions. (b) X-ray photoemission spectroscopy (XPS) intensities of the Al 2p (squares) and Si 2p (circles) peaks as a function of the total amount of ALD cycles and corresponding fittings (dashed lines) in red and black, respectively. (c) Instantaneous (open symbols) and accumulated (filled symbols) GPC values as a function of the total amount of ALD cycles estimated from XPS measurements.
Figure 1. (a) Spectroscopic ellipsometry measurements showing the thickness evolution of T-ALD Al2O3 on Si wafers using TMA and H2O at room temperature. The dashed red and blue lines (with the corresponding values) show the fit performed for the first 60 and 10 cycles, respectively. The semi-transparent blue box indicates the first 10 cycles where the corresponding fit is performed. The top left inset depicts a magnified view of the ellipsometric data, showing the step-like behavior of the ALD process and indicating the TMA, N2, and H2O doses (time has been set to 0 at the beginning of the cycle). The bottom right inset shows a cross-sectional TEM image of a Si-based nanostructured substrate with a 5 nm thick Al2O3 layer deposited under the same conditions. (b) X-ray photoemission spectroscopy (XPS) intensities of the Al 2p (squares) and Si 2p (circles) peaks as a function of the total amount of ALD cycles and corresponding fittings (dashed lines) in red and black, respectively. (c) Instantaneous (open symbols) and accumulated (filled symbols) GPC values as a function of the total amount of ALD cycles estimated from XPS measurements.
Inorganics 11 00477 g001
Figure 2. Quadrupole mass spectrometry (QMS) measurements for T-ALD of Al2O3 on Si wafers at (a) RT and (b) 200 °C following the m/z = 18 (H2O, blue) and m/z = 16 (CH4, black) signals as a function of time.
Figure 2. Quadrupole mass spectrometry (QMS) measurements for T-ALD of Al2O3 on Si wafers at (a) RT and (b) 200 °C following the m/z = 18 (H2O, blue) and m/z = 16 (CH4, black) signals as a function of time.
Inorganics 11 00477 g002
Figure 3. Evolution of Ti, Al, O, and C atomic concentrations as a function of the total amount of ALD cycles for the T-ALD of TMA and water on a Ti substrate process performed at (a) RT and (b) 200 °C.
Figure 3. Evolution of Ti, Al, O, and C atomic concentrations as a function of the total amount of ALD cycles for the T-ALD of TMA and water on a Ti substrate process performed at (a) RT and (b) 200 °C.
Inorganics 11 00477 g003
Figure 4. (a) Ti 2p X-ray photoemission spectroscopy (XPS) data of a bare metallic Ti surface as a function of H2O pulses of 0.5 s. Black circle symbols and continuous red lines represent the raw data and the fitted curve, respectively. The dark and light green and blue lines correspond to the different Ti 2p3/2 and 2p1/2 components, as indicated. (b) Ti 2p XPS spectra of the T-ALD growth performed at RT, as labeled. (c) Evolution of the metallic Ti0 component (%) as a function of H2O pulses for the H2O dose at RT (squares), T-ALD growth at RT (circles), and T-ALD at 200 °C (triangles).
Figure 4. (a) Ti 2p X-ray photoemission spectroscopy (XPS) data of a bare metallic Ti surface as a function of H2O pulses of 0.5 s. Black circle symbols and continuous red lines represent the raw data and the fitted curve, respectively. The dark and light green and blue lines correspond to the different Ti 2p3/2 and 2p1/2 components, as indicated. (b) Ti 2p XPS spectra of the T-ALD growth performed at RT, as labeled. (c) Evolution of the metallic Ti0 component (%) as a function of H2O pulses for the H2O dose at RT (squares), T-ALD growth at RT (circles), and T-ALD at 200 °C (triangles).
Inorganics 11 00477 g004
Figure 5. C 1s X-ray photoemission spectroscopy (XPS) spectra taken at different stages of the Al2O3 T-ALD process performed at (a) RT and (b) 200 °C, as labeled. Black circle symbols and continuous red lines represent the raw data and the fitted curve, respectively. Dark and light green lines correspond to TiC-related components, red to TMA, and blue lines to C-C and C-O species, as indicated.
Figure 5. C 1s X-ray photoemission spectroscopy (XPS) spectra taken at different stages of the Al2O3 T-ALD process performed at (a) RT and (b) 200 °C, as labeled. Black circle symbols and continuous red lines represent the raw data and the fitted curve, respectively. Dark and light green lines correspond to TiC-related components, red to TMA, and blue lines to C-C and C-O species, as indicated.
Inorganics 11 00477 g005
Figure 6. In-situ X-ray photoemission spectroscopy (XPS) spectra of the (a) C 1s and (b) O 1s regions of the Al2O3 T-ALD process performed at RT before and after Ar+ sputtering. Black circle symbols and continuous red lines represent the raw data and the fitted curve, respectively.
Figure 6. In-situ X-ray photoemission spectroscopy (XPS) spectra of the (a) C 1s and (b) O 1s regions of the Al2O3 T-ALD process performed at RT before and after Ar+ sputtering. Black circle symbols and continuous red lines represent the raw data and the fitted curve, respectively.
Inorganics 11 00477 g006
Figure 7. Transmission electron microscopy (TEM) cross-section image of a 15 nm thick T-ALD Al2O3 film deposited at RT on Si-based nanostructured substrates. (a) Bright-field (BF) image; (b) BF image superimposed to energy dispersive X-ray spectroscopy (EDX) compositional mapping of silicon, oxygen, and aluminum; and (c) EDX mapping of carbon in the same region.
Figure 7. Transmission electron microscopy (TEM) cross-section image of a 15 nm thick T-ALD Al2O3 film deposited at RT on Si-based nanostructured substrates. (a) Bright-field (BF) image; (b) BF image superimposed to energy dispersive X-ray spectroscopy (EDX) compositional mapping of silicon, oxygen, and aluminum; and (c) EDX mapping of carbon in the same region.
Inorganics 11 00477 g007
Figure 8. (a) Overall top-view scheme of the complete ALD-XPS system, consisting of a load-lock chamber (purple), a preparation chamber equipped with a quadrupole mass spectrometer, a radiative sample heating stage (up to 300 °C), gas input lines, and the possibility to install several evaporators (light blue); an analysis chamber equipped with an XPS analyzer and a twin non-monochromatized X-ray source with Al and Mg anodes (brown); and the homemade ALD reactor (green) where the spectroscopic ellipsometer (dark red) and the differentially pumped mass spectrometer (orange) are installed. For clarity, the manipulator of the heating stage at the ALD reactor is not included. (b) Lateral view of the ALD reactor, including the ellipsometer at an angle of 70° with respect to the normal of the sample surface. The manipulator of the heating stage of the ALD reactor, where the sample is held, is installed on the front window in this view, allowing fine correction of the sample position and tilt with respect to the incident polarized light. The connection to the preparation chamber, hidden in (a), is indicated by the black arrow on the left side. (c) Detailed view of the quadrupole mass spectrometer. The entrance of the QMS is separated from the ALD reactor by an angle valve and a DN40 CF dummy flange with a 500 μm aperture where a membrane of different pore sizes can (depending on the usage of pump or flow type mode) be installed to constrain the pressure in the QMS area to <10−4 mbar.
Figure 8. (a) Overall top-view scheme of the complete ALD-XPS system, consisting of a load-lock chamber (purple), a preparation chamber equipped with a quadrupole mass spectrometer, a radiative sample heating stage (up to 300 °C), gas input lines, and the possibility to install several evaporators (light blue); an analysis chamber equipped with an XPS analyzer and a twin non-monochromatized X-ray source with Al and Mg anodes (brown); and the homemade ALD reactor (green) where the spectroscopic ellipsometer (dark red) and the differentially pumped mass spectrometer (orange) are installed. For clarity, the manipulator of the heating stage at the ALD reactor is not included. (b) Lateral view of the ALD reactor, including the ellipsometer at an angle of 70° with respect to the normal of the sample surface. The manipulator of the heating stage of the ALD reactor, where the sample is held, is installed on the front window in this view, allowing fine correction of the sample position and tilt with respect to the incident polarized light. The connection to the preparation chamber, hidden in (a), is indicated by the black arrow on the left side. (c) Detailed view of the quadrupole mass spectrometer. The entrance of the QMS is separated from the ALD reactor by an angle valve and a DN40 CF dummy flange with a 500 μm aperture where a membrane of different pore sizes can (depending on the usage of pump or flow type mode) be installed to constrain the pressure in the QMS area to <10−4 mbar.
Inorganics 11 00477 g008
Figure 9. (a) Pump-lines scheme of the ALD reactor and associated differentially pumped QMS. The blue and red arrows indicate the open valve configuration under the flow and pump-type operation modes, respectively. (b) ALD-gas lines scheme, where the blue and green valves correspond to the ALD pneumatic and manual valves, respectively, and the top red boxes represent the mass flow controllers connected to a shared N2 supply.
Figure 9. (a) Pump-lines scheme of the ALD reactor and associated differentially pumped QMS. The blue and red arrows indicate the open valve configuration under the flow and pump-type operation modes, respectively. (b) ALD-gas lines scheme, where the blue and green valves correspond to the ALD pneumatic and manual valves, respectively, and the top red boxes represent the mass flow controllers connected to a shared N2 supply.
Inorganics 11 00477 g009
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Morales, C.; Mahmoodinezhad, A.; Tschammer, R.; Kosto, J.; Alvarado Chavarin, C.; Schubert, M.A.; Wenger, C.; Henkel, K.; Flege, J.I. Combination of Multiple Operando and In-Situ Characterization Techniques in a Single Cluster System for Atomic Layer Deposition: Unraveling the Early Stages of Growth of Ultrathin Al2O3 Films on Metallic Ti Substrates. Inorganics 2023, 11, 477. https://doi.org/10.3390/inorganics11120477

AMA Style

Morales C, Mahmoodinezhad A, Tschammer R, Kosto J, Alvarado Chavarin C, Schubert MA, Wenger C, Henkel K, Flege JI. Combination of Multiple Operando and In-Situ Characterization Techniques in a Single Cluster System for Atomic Layer Deposition: Unraveling the Early Stages of Growth of Ultrathin Al2O3 Films on Metallic Ti Substrates. Inorganics. 2023; 11(12):477. https://doi.org/10.3390/inorganics11120477

Chicago/Turabian Style

Morales, Carlos, Ali Mahmoodinezhad, Rudi Tschammer, Julia Kosto, Carlos Alvarado Chavarin, Markus Andreas Schubert, Christian Wenger, Karsten Henkel, and Jan Ingo Flege. 2023. "Combination of Multiple Operando and In-Situ Characterization Techniques in a Single Cluster System for Atomic Layer Deposition: Unraveling the Early Stages of Growth of Ultrathin Al2O3 Films on Metallic Ti Substrates" Inorganics 11, no. 12: 477. https://doi.org/10.3390/inorganics11120477

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop