Next Article in Journal
High Dimensional Data Differential Privacy Protection Publishing Method Based on Association Analysis
Previous Article in Journal
High Efficiency Converters Based on Modular Partial Power Processing for Fully Electric Maritime Applications
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Deep Neural Networks-Based Direct-Current Operation Prediction and Circuit Migration Design

1
School of Electronic Science and Engineering, Xiamen University, Xiamen 361005, China
2
School of Intergated Circuits, Tsinghua University, Beijing 100084, China
*
Authors to whom correspondence should be addressed.
Electronics 2023, 12(13), 2780; https://doi.org/10.3390/electronics12132780
Submission received: 29 May 2023 / Revised: 18 June 2023 / Accepted: 20 June 2023 / Published: 23 June 2023
(This article belongs to the Section Circuit and Signal Processing)

Abstract

:
Recently, design methods based on g m / I d parameters have attracted attention in analog integrated circuit design and have been automated with computer assistance. However, the look-up tables (LUTs) in the g m / I d method have the problem of high hardware resource overhead. To address this issue, this paper proposes a multi-output deep neural network (DNN) structure for modeling the direct-current parameters of transistors and replacing LUTs for circuit design. The proposed DNN models’ performance is verified using mainstream design technologies such as TSMC 40 nm (T40), TSMC 65 nm (T65), TSMC 180 nm (T180), and SMIC 180 nm (S180). Compared with LUTs, the proposed DNN models are able to reduce at least 99.9% storage space occupation and 95.62% prediction time overhead with a mean absolute percentage error of less than 0.2%. In addition, we propose an automated circuit migration design method using DNN models in different technologies, combined with g m / I d parameters. The method generates circuit design databases in different technologies and obtains device design results according to performance requirements. The experimental results show that using DNN models can reduce the time overhead by more than 40% compared to using LUTs. The simulation results of circuit transplantation design show that the circuit performance of T40, T65, S180, and T180 meets the requirements, which verifies the proposed DNN-based automated circuit design method.

1. Introduction

In the current era of mixed-signal system-on-chips, digital integrated circuit (IC) design has the benefit of relying on mature automated synthesis tools for automated design. In contrast, analog IC design is a time-consuming task that heavily relies on manual analysis by expert designers due to the lack of mature automated synthesis tools [1]. This manual design process is a bottleneck in IC design, prompting the need for automated analog IC design technologies, which have garnered widespread attention.
The mainstream approaches to automation can be broadly categorized into two types: optimization-based and knowledge-based methods [2]. The optimization-based approach utilizes optimization algorithms such as evolutionary algorithms [3] to generate new device size results. However, due to the inherent complexity of both algorithms and circuits, this approach may result in significant time consumption or even physically infeasible solutions [4].
The knowledge-based approach, on the other hand, relies on expert knowledge to develop automated design programs capable of generating valuable solutions. Currently, the mature approach is based on the g m / I d method [5] with pre-computed lookup tables (LUTs) [6], which achieves high design accuracy and has been successfully applied in the design of various circuits such as operational amplifiers, bandgap reference circuits, low-noise amplifiers, and others [7,8,9,10,11].
The LUTs used in the g m / I d method are generated by simulating the device parameters using a simulation program with integrated circuit emphasis (SPICE) models with fixed step sizes in channel length (L), V d s , V b s , and V g s . For parameter values that are not present in the LUTs, interpolation can be utilized to predict the values. However, high-precision LUTs require more finely scanned parameter step sizes, leading to increased storage space usage [12]. Taking into account the number of model parameters and device types, the large storage space requirements and the need to load them into memory for access entail significant hardware resource costs, rendering this design method impractical.
With the continuous advancements in machine learning (ML), researchers have started exploring the possibility of constructing device parameter models using ML models. Habal et al. [13] developed a simple quadratic polynomial as a feature engineering input and proposed a neural network with a single hidden layer for predicting g m / I d . In the work of Habal et al., the prediction errors of the ML model were approximately controlled within 3%. However, predicting a single parameter of a transistor in a specific technology appears to be insufficient.
Yang et al. [14] conducted a thorough analysis of the impact of different activation functions in a multi-layer perceptron model for predicting the parameters of various transistors. They proposed a neural network architecture with three hidden layers and found that the inverse square root unit (ISRU) activation function provided the best training results. The mean absolute percentage error (MAPE) for the negative channel-metal-oxide-semiconductor (NMOS) was reduced to 1.38%, but the errors for the positive channel metal-oxide-semiconductor (PMOS) ranged between 6.47% and 10.69%. Although these studies demonstrate the potential of multi-layer perceptron models instead of LUTs, the challenge lies in determining the optimal hyperparameters of the neural network.
Ho et al. [15] proposed an algorithm that utilizes genetic algorithms and multi-layer perceptrons to predict current ( I d ) using an evolved neural network. Their work demonstrated that the prediction accuracy of the evolved neural network was superior to that of a conventional multi-layer perceptron. This research provides some inspiration for our current work as it highlights the potential of using genetic algorithms to optimize neural networks and improve prediction accuracy.
Wang et al. [16] introduced a direct-current (DC) simulation-based neural network model called the DC model. This model utilizes graphics card-accelerated neural networks to capture the non-linear relationship between specific DC parameters and performance metrics. By substituting certain simulation tasks, it significantly reduces time consumption while maintaining a high level of accuracy. Qi et al. [17] proposed a knowledge-based neural network approach that segregates geometric variables from other input variables. The geometric variables are modeled using physics-based analytical equations, while the remaining variables are represented by an artificial neural network. This methodology was verified using the BSIM6 (BSIM, a simulation model developed by the UC Berkeley) model and demonstrated good agreement between the model predictions and experimental results. Similarly, Wang et al. [18] conducted similar work by modifying the BSIM model for low-temperature conditions in a 180 nm technology. They introduced an optimization model based on the backpropagation neural network prediction to compensate for the low-temperature effect. A common characteristic of the aforementioned approaches is the utilization of neural networks to substitute certain aspects of physical modeling, thereby accelerating scientific computations.
Fu et al. [19] presented a model based on the backpropagation neural network for the prediction of NMOS performance parameters. The modeling process involved employing substrate bias, substrate impurity concentration, oxide thickness, and adjusted implant doping concentration for threshold voltage as independent variables while considering threshold voltage and others as dependent variables. Following the training of the model, none of the final prediction variables exhibited an average percentage error exceeding 1.5%.
Wei et al. [20] developed a precise artificial neural network model that encompasses the complete range of drain currents. By employing the Latin hypercube sampling algorithm, they achieved a substantial reduction in the training data requirement without significantly compromising the quality of the fitting outcomes. This approach effectively mitigated training overhead. The experimental findings demonstrate that the proposed artificial neural network model exhibits excellent fitting capabilities in the 180 nm technology.
Most of the above works focus on the parametric modeling of devices, but less work applies the proposed models to actual circuit design. In addition, the technology nodes involved in device parameter modeling focus on one or two technologies, and the effectiveness of the model on more technology is unknown.
This paper introduces a new deep neural network (DNN) architecture that has multiple DC outputs for modeling complementary metal-oxide-semiconductor (CMOS) device parameters. This DNN model requires only four inputs ( V g s , V d s , V b s , and L) and can produce 14 outputs simultaneously, including I d , V t h , V d s a t , g m , f u g , g d s , C g g , C g s , g m / I d , g m r o , C g d , C d g , r o n , and C d d . The suggested DNN architecture comprehensively models the device parameters to prevalent process design kits (PDKs) TSMC 40 nm (T40), TSMC 65 nm (T65), TSMC 180 nm (T180), and SMIC 180 nm (S180), respectively. Compared with the traditional LUTs, the proposed DNN models for each PDK occupy less storage space and have high-accuracy prediction performance. Moreover, this paper leverages the DNN models within each PDK, in conjunction with g m / I d parameters, to achieve circuit migration design. By employing circuit multiplexing, the efficiency of analog integrated circuit design is enhanced.
The structure of the paper is organized as follows: Section 2 introduces the DNN architecture and outlines the necessary metrics requirements. In Section 3, the dataset acquisition process is described. Section 4 discusses the automated design method based on the g m / I d parameter and DNN models. Section 5 presents the experimental results. Finally, Section 6 is the conclusions.

2. DNN Model and Performance Requirements

2.1. DNN Model Architecture

The mapping of the LUTs used in the g m / I d method can be described in (1).
L U T t e c h , t y p e , W [ V g s , V d s , V b s , L ] [ g m , g m / I d , . . . , g m r o ]
For a given PDK ( t e c h ), device type ( t y p e ), and channel width (W), the desired device parameter results such as g m and g m / I d can be obtained by the values of V g s , V d s , V b s , and L of the transistor. Typically, LUTs are only capable of providing a single parameter value at a time, and interpolation techniques are employed to obtain parameter data that lie outside the LUTs but fall within the input range.
The non-linear relationship between the input and output of the LUTs mentioned above can be modeled using ML approaches. In this paper, a DNN model, as depicted in Figure 1, for training the aforementioned input–output relationship was proposed. The DNN model begins with four input parameters, namely V g s , V d s , V b s , and L. To enhance the representation capability, a feature generation layer is incorporated to generate 74 high-order feature items, which are subsequently utilized as inputs for the DNN. The DNN architecture comprises one input layer, six hidden layers, and one output layer, employing rectified linear unit (ReLU) [21] activation for all hidden layers. The hidden layers consist of 150, 120, 120, 120, 120, and 150 neurons, respectively, and are fully connected. The output layer provides predictions for 14 parameters, encompassing I d , V t h , V d s a t , g m , f u g , g d s , C g g , C g s , g m / I d , g m r o , C g d , C d g , r o n , and C d d . The feature generation algorithm is presented in Algorithm A1, and the training and prediction algorithms of DNN are shown in Algorithm A2 and Algorithm A3, respectively (Appendix A). While the hyperparameter settings for the DNN model are outlined in Table 1.

2.2. Performance Metrics of DNN Model

While the primary motivation behind proposing the DNN model is to address the issue of extensive storage requirements associated with LUTs, it is imperative to consider its capacity to replace LUTs in circuit design while maintaining superior prediction accuracy. Furthermore, the effectiveness of model-based circuit design automation is partially contingent upon the computational speed of the model, as employing a faster prediction model within the same design framework can lead to enhanced design efficiency. In light of the aforementioned analysis, this paper introduces four evaluation metrics, including time, model size, MAPE, and maximum relative error (MRE), to evaluate the performance of the proposed model. Table 2 shows the statement of each metric.

3. Data Sampling

The DNN models are trained using four PDKs: T40, T65, T180, and S180, respectively. The respective ranges and step sizes of the variables used to generate each PDK dataset are presented in Table 3. For T40 and T65, the range of V g s and V d s is set from 0.1 V to 1.2 V, with a step size of 0.02 V for both variables. Similarly, the range of V b s is from 0 V to 1.2 V, with a step size of 0.02 V. On the other hand, for T180 and S180, the range of V g s and V d s is extended from 0.1 V to 1.8 V, and the range of V b s spans from 0 V to 1.8 V, with a consistent step size of 0.02 V for both variables. The channel length (L) values vary from 0.5 µm to 6 µm, with a step size of 0.2 µm, while the channel width (W) remains fixed at 5 µm across all PDKs.
Table 4 provides detailed information regarding the generated datasets, including their sizes and the parameters they encompass. Each dataset comprises 14 device parameters, namely I d , V t h , V d s a t , g m , f u g , g d s , C g g C g s , g m / I d , g m r o , C g d , C d g , r o n , and C d d . The dataset sizes for T40 and T65, which were saved in pickle format, were 1.4 GB space each (including both PMOS and NMOS devices). Similarly, the dataset sizes for T180 and S180 were 4.4 GB space each (including both PMOS and NMOS devices).
Prior to training, the logarithm of the 14 target parameters to be predicted was taken, and the dataset was normalized to eliminate any significant variations among the target parameter data. Subsequently, the dataset was divided into a training set, a validation set, and a test set, following a ratio of 0.81:0.14:0.05, respectively.

4. Sizing Method

Figure 2 illustrates the design flow used in this work. Specifically, the flow begins by specifying the design variables for the circuit, which include the g m / I d and L for each transistor, as well as the passive device resistor-capacitor ( R C ) values. Subsequently, the design points for V g s , V d s , V b s for each transistor are determined using the mapping of g m / I d and V g s , along with the application of Kirchhoff’s voltage law (KVL) to the circuit. These design points ( V g s , V d s , V b s and L) are then input into D N N M o d e l s to obtain 14 DC parameters.
The obtained 14 DC parameters serve two purposes: firstly, they are combined with the circuit performance equations to predict the performance of the circuit. Secondly, they are utilized to solve for the channel width (W) of each transistor based on the g m / I d method. To expedite this process, parallel computing techniques are employed. Upon traversing all the design points, the values of L, W, R, and C alongside the corresponding circuit performance are stored in the D e s i g n D a t a b a s e . Finally, the sizing results are outputted according to the performance specifications requirements.
To ensure the efficacy of the design, this study incorporates a parameter known as voltage saturation margin ( V d s m a r g ). This parameter determines whether a transistor operates in the saturation region by evaluating the condition V d s V d s a t V d s m a r g . Any design point that includes transistors failing to satisfy the aforementioned condition is discarded. The working details of the V d s m a r g parameter are shown in Figure 3.
The reuse of analog circuit designs represents a pivotal strategy for enhancing the efficiency of IC design. The approach employed in this paper for implementing circuit migration design is founded upon the design process outlined in Figure 2. Specifically, it entails leveraging distinct DNN models associated with different PDKs to generate the circuit design database corresponding to each respective PDK. Subsequently, the final device sizes are determined based on the performance requirements.
It is noteworthy that the methodology proposed in this paper involves traversing the design space and recurrent utilization of the DNN models for parameter prediction, which constitutes a significant portion of the overall process time. Consequently, the time-consuming nature of the model prediction phase holds great importance as it directly impacts the efficiency of circuit design database generation.

5. Results and Discussion

This section presents the performance of the proposed DNN models as well as experiments on circuit migration design. All experiments were performed on a Linux workstation with Intel(R) Xeon(R) Bronze 3204 CPU @ 1.90 GHz and 512 GB memory.

5.1. DNN Model Performance

5.1.1. The Comparison to Other ML Models

In order to demonstrate the superior performance of the proposed DNN models, a comparison is made with other ML models. The comparison encompasses traditional models such as Support Vector Regression, Ridge Regression, Bayesian Ridge, Decision Tree, Random Forest, and others [22,23,24,25,26], as well as more recent models such as TabNet, XGBoost, LightGBM, and Denominator Numerator Fit [27,28,29,30]. The evaluation metrics employed to assess the performance of these models are presented in Table 2.
Table 5 presents a comprehensive overview of the ML models employed for comparison. Notably, with the exception of the DNN models, which are multi-output, the remaining models are single-output models. Moreover, these single-output models utilize high-order features derived from feature generation as their input. In contrast to the DNN mode, these single-output models employ 116-dimensional high-order features to ensure optimal training. The feature generation process adheres to Algorithm A1, wherein the parameter settings are specified as order = 5 and overlap_order = 4.
In order to ensure a fair comparison of model performance, the training and inference processes were conducted using the Sklearn framework, with comprehensive evaluation performed across all models. Table 6 presents the performance results of these models on the test set, which comprised approximately 10,000 data points, specifically focusing on the prediction of the T65 NMOS transistor device parameter I d . Based on the evaluation metrics MAPE and MRE, the proposed DNN model outperforms all other models, with DNFit, BGR, DT, RF, XGBoost, BRR, RR, and TN models following in descending order. However, when considering the aspect of model size, the DNFit, BGR, DT, and RF models, despite exhibiting high accuracy, are notably larger compared to the proposed DNN model. In cases where all 14 parameters are taken into account, these models approach or surpass the size of LUTs. Nevertheless, after XGBoost, BRR, and TN models achieve all 14 parameter models, their total sizes either become comparable to that of the DNN model or their accuracy becomes less dominant. Regarding prediction time, when making approximately 10,000 predictions, the DNN model requires a total of 0.688 s. It is important to note that the DNN model outputs 14 parameters per prediction. From this perspective, considering an equivalent number of parameter predictions, the prediction time of the DNN model still exhibits commendable performance in both high-precision and low-storage occupation models.
To further demonstrate the superiority of the proposed multi-output DNN models, a performance comparison is conducted with single-output DNN models. Table 7 provides the hyperparameter settings for the single-output DNN models, while Table 8 presents the performance comparison between the single-output and multi-output DNN models in predicting the T65 NMOS I d parameter. In evaluating the results, it can be observed that the model size of the single-output DNN models and the multi-output DNN models are comparable, indicating that the multi-output model possesses an advantage in terms of model size. When considering all 14 parameters, the total size of the single-output model is 14 times that of a single model. Regarding prediction time, the time cost for predictions of the single-output model becomes similar to that of the multi-output model once the number of predicted parameters becomes equivalent. In terms of prediction results for the I d parameter, both the MRE and MAPE of the multi-output DNN models are smaller compared to the single-output DNN models. This indicates that the multi-output DNN models achieve higher accuracy in predicting the I d parameter.
Table 9 presents the MAPE and MRE results for all parameters of both the multi-output DNN and single-output DNN models across all PDKs. Overall, the prediction accuracy of the multi-output DNN models is comparable to that of the single-output DNN models. However, the multi-output DNN models demonstrate a distinct advantage in terms of storage space occupation, particularly in addressing the issue of large storage space consumption associated with lookup tables. The multi-output DNN models occupy a smaller storage space, making it more advantageous in mitigating the problem of substantial storage space occupation.

5.1.2. The Comparison to the LUTs

From the analysis of model size, the DNN models, including both NMOS and PMOS for each PDK, are 4.32 MB space. This size is significantly smaller compared to the storage space occupied by LUTs, which amounts to 1.4 GB space for T40 and T65, and 4.4 GB space for T180 and S180. The reduction rates in storage space achieved by the DNN models are 99.69% and 99.90%, respectively. In terms of prediction time analysis in Table 10, the average time consumed for a prediction by the LUTs is 0.00132 s, while the average prediction time for the DNN models is 0.00081 s. However, it is important to note that the DNN models predict 14 parameters, whereas the LUTs only have one parameter. When the time consumption is adjusted to account for all 14 prediction parameters, the DNN models reduce the time overhead by 95.62%. This clearly demonstrates the significant advantages of the DNN models in terms of speed.
Moreover, to validate the accuracy of the DNN models, we conducted out-of-sample testing and compared its performance with that of the LUTs as well as the HSPICE (a simulator from Synopsys) results. Figure 4, Figure 5, Figure 6 and Figure 7 depict the accuracy of the DNN models in predicting the transistor parameters, specifically focusing on the parameters g m and I d for each technology. For the sake of simplicity, we present the results for these two parameters only. In the center subfigures, a comparison is made between the predictions of the DNN models and the LUTs, both of which are juxtaposed with the HSPICE results. On the other hand, the right subfigures illustrate the absolute percentage error of the DNN models and the LUTs relative to the HSPICE results. By analyzing the percentage error comparison, it is evident that the DNN models exhibit a maximum percentage error of less than 2% and an absolute average percentage error of approximately 1%. These results highlight the superior performance of the DNN models over the LUTs, which rely on linear interpolation, particularly in terms of the average percentage error in parameter prediction. Furthermore, the percentage error curves of the LUTs demonstrate a sawtooth pattern with gradually decreasing peak values in regions where the parameter value changes significantly. In contrast, the percentage error curve of the DNN models exhibits less fluctuation and greater randomness, indicating its more stable prediction performance.

5.2. Circuit Migration Design

5.2.1. Folded Cascode Operation Amplifier (FC OPAMP)

The FC OPAMP is shown in Figure 8. Table 11 presents the specification requirements of FC OPAMP for T40, T65, T180, and S180. Equation (2) shows the design equations of the FC OPAMP, while Table 12 provides the range of each transistor design variable for the FC OPAMP. For this specific example, the value of V d s m a r g is set at 50 mV, while the value of β is set to 1.05.
In this case, by employing DNN models for circuit design, the database comprising approximately 780,000 design points requires approximately 92 s for generation for each technology, whereas the utilization of LUTs necessitates approximately 155 s. Compared with using LUTs, using DNN models can shorten the time consumption by 40.65%. This implies that in practical design applications, DNN models are still capable of maintaining a speed advantage.
Table 13, Table 14 and Table 15 display the sizing results, pre-simulation results, and post-simulation results of the FC OPAMP in T40, T65, T180, and S180, respectively. The results demonstrate two key observations. Firstly, the circuit simulation results in all four technologies meet the specified requirements, validating the effectiveness of the proposed circuit migration design method. Secondly, it is noteworthy that, for comparable simulation outcomes, the transistor size required in T40 exceeds that of T65, while the sizing results between T180 and S180 are more similar. This observation suggests that it is more challenging to realize this circuit in T40.
A o = g m 5 r o 5 g m 7 r o 7 G 1 β λ 9 g m 5 r o 5 + g m 7 r o 7 [ ( 1 + β ) λ 3 + λ 1 ] , C M R R = β A o 1 g m 0 r o 0 G 9 G 0 , G B W = g m 1 2 π ( C L + C d d 8 + C d d 6 ) , S R = I D 0 C c , ω p 2 = g m 5 C d d 3 + C d d 1 + C s s 5 , P M = 90 arctan ( 2 π G B W ω p 2 ) ,
where G = g m / I d , β = I d 5 / I d 1 , λ = g d s / I d s .

5.2.2. Miller Operation Amplifier(MI OPAMP)

The MI OPAMP is shown in Figure 9. The specification requirements of MI OPAMP for T40, T65, T180, and S180 are presented in Table 16. The design equations of the MI OPAMP are provided in Equation (3), while the range of each device design variable for MI OPAMP is specified in Table 17. It is worth noting that the g m / I d values of M5, M6, and M7 in the MI OPAMP are influenced by the V g s of M3 and M0, and therefore, there is no need to restrict the g m / I d range of M5, M6, and M7. The saturation voltage margin parameter, V d s m a r g , is set to 50 mV in this particular example.
In the context of this circuit design case, it has been observed that the generation of a database consisting of approximately one million design points through the utilization of the DNN models takes roughly 120 s, whereas the same task requires approximately 210 s when utilizing LUTs. This represents a 42.86% reduction in the time overhead, as compared to the LUTs approach.
Table 18, Table 19 and Table 20 display the sizing results, pre-simulation results, and post-simulation results of the MI OPAMP in T40, T65, T180, and S180, respectively. The results indicate that the circuit simulation results in all four PDKs satisfying the specified requirements, thus demonstrating the effectiveness of the proposed method. Furthermore, consistent with the sizing results of the FC OPAMP, it is observed that the results for T180 and S180 exhibit relatively close values. However, in order to meet the specification requirements, T40 demands larger device sizes and RC values compared to T65.
A o 1 = G 1 λ 1 + λ 3 , A o 2 = G 5 λ 5 + λ 6 , A o = A o 1 · A o 2 , C M R R = A o 1 g m 0 r o 0 G 3 G 0 , G B W = g m 1 2 π C c ( 1 + α ) , S R = min { I D 0 C c , I D 6 I D 0 C 2 } , ω p 2 = g m 5 C c C 1 C 2 + C 1 C c + C 2 C c , ω p 3 = 1 R z C 1 , ω z 1 = 1 C c ( 1 g m 5 R z ) , P M = 90 arctan ( 2 π G B W ω p 2 ) arctan ( 2 π G B W ω p 2 ) + arctan ( 2 π G B W ω z 1 ) ,
where α = 1 + C 1 C c A o 2 + 1 + C 2 C c g m 5 g m 1 A o 1 , C 1 = C d d 2 + C d d 4 + C g s 5 , C 2 = C d d 6 + C d b 5 + C L .

6. Conclusions

This paper presents a novel approach for training DNN models to replace the LUTs in the g m / I d method, thereby significantly reducing storage space requirements. The proposed method focuses on training DNN models for NMOS and PMOS device parameters in prevalent IC design technologies, namely T40, T65, T180, and S180. By employing DNN models, the storage space requirements can be reduced by at least 99% compared to LUTs. Furthermore, the DNN models demonstrate high prediction accuracy, with an average percentage error of less than 1%. In terms of prediction time, the DNN models outperform LUTs by reducing the time overhead by 91.46% when predicting an equivalent number of parameters.
Additionally, this paper introduces an automated porting design approach for analog circuits that combines the DNN models with the g m / I d design method. The objective is to facilitate circuit design reuse across different technologies. The proposed method is validated through migration design experiments involving folded cascode amplifiers and Miller two-stage amplifiers in the mainstream technologies of T40, T65, T180, and S180. The experimental results demonstrate that utilizing the DNN models can reduce the time overhead by 40% compared to using LUTs. Furthermore, the pre- and post-simulation results of the circuit confirm that the proposed method enables the automated design of circuits with identical specifications across different technologies.
Based on the experimental results, it was observed that to achieve the same performance specifications, the sizing of operational amplifiers in T40 technology is relatively larger than that of T65 technology for both circuit architectures. Therefore, it is recommended that for advanced technology circuit design, the performance targets can be relaxed to obtain smaller circuit sizes. Alternatively, one may consider replacing the architecture to meet the desired specifications.

Author Contributions

Q.W. and H.L. conducted the experiments and coordinated the experiments. H.L. and J.X. proposed the model. Q.W. and H.L. prepared the first draft of the manuscript. L.L., Z.Y., and Y.W. commented on the manuscript. L.L. supervised the project. All authors have read and agreed to the published version of the manuscript.

Funding

This work is supported by the National Key Research and Development Project under Grant No. 2019YFB2205001.

Data Availability Statement

The experimental results of all PDK models are available from https://github.com/liuhaixu2021 (accessed on 28 May 2023), the original data pickle file is approximately 31.54 GB, and due to Github’s restriction on uploading files larger than 100 MB, we have placed a permanent download link to the full data in a markdown file in Github.

Conflicts of Interest

The authors declare no conflict of interest.

Abbreviations

    The following abbreviations are used in this manuscript:
CMOScomplementary metal-oxide-semiconductor
DCdirect-current
DNNdeep neural network
FC OPAMPfolded cascode operation amplifier
ICintegrated circuit
ISRUinverse square root unit
KVLKirchhoff’s voltage law
LUTlookup table
MAPEmean absolute percentage error
MLmachine learning
MREmaximum relative error
MI OPAMPmiller operation amplifier
NMOSnegative channel metal-oxide-semiconductor
PDKprocess design kit
PMOSpositive channel metal-oxide-semiconductor
ReLUrectified linear unit
SPICEsimulation program with integrated circuit emphasis
S180SMIC 180 nm
T40TSMC 40 nm
T65TSMC 65 nm
T180TSMC 180 nm
A 0 DC loop gain
C M R R common mode rejection
G B W gain-band width
P M phase margin
R C resistor-capacitor
S R slew rate

Appendix A. Deep Neural Networks Algorithm

The relevant algorithms of the deep neural network are as follows, Algorithm A1 is the feature generation algorithm, Algorithm A2 is the deep neural network training algorithm, and Algorithm A3 is the deep neural network prediction algorithm.
Algorithm A1 Feature Generation
Input: 
X = { V g s , V d s , V b s , L } , o r d e r = 5 , o v e r l a p _ o r d e r = 3
Output: 
Y: 74-dimensional feature items
1:
Y X
2:
for i 0 to 3 do
3:
   for  j 2 to o r d e r  do
4:
     Add X [ i ] j to the end of Y
5:
   end for
6:
end for
7:
for i 0 to 3 do
8:
   for  j i + 1 to 3 do
9:
     for  k 1 to o v e r l a p _ o r d e r  do
10:
        for  p 1 to o v e r l a p _ o r d e r  do
11:
          Add X [ i ] k × X [ j ] p to the end of Y
12:
        end for
13:
     end for
14:
   end for
15:
end forreturnY
Algorithm A2 DNN Model Training
Input: 
X t r a n _ d a t a , Y t r a n _ d a t a , l e a r n i n g r a t e , m a x i t e r , h i d d e n l a y e r s i z e
Output: 
D N N m o d e l
1:
Generator feature X according to Alogrithm A1 using X t r a n _ d a t a
2:
Take the absolute value of Y t r a n _ d a t a
3:
Log Y t r a n _ d a t a
4:
Y Standardize on Y t r a n _ d a t a
5:
 
6:
function INITIALIZEPARAMETERS( n f e a t u r e s )
7:
       w e i g h t s [ ]
8:
       b i a s e s [ ]
9:
       l a y e r s i z e s [ n f e a t u r e s ] + l i s t ( h i d d e n l a y e r s i z e )
10:
      for  i 1 to l e n ( l a y e r s i z e s ) 1  do
11:
             i n p u t s i z e l a y e r s i z e s [ i 1 ]
12:
             o u t p u t s i z e l a y e r s i z e s [ i ]
13:
             w e i g h t r a n d o m ( o u t p u t s i z e , i n p u t s i z e )
14:
             b i a s z e r o s ( ( o u t p u t s i z e , 1 ) )
15:
            Add w e i g h t to the end of w e i g h t s
16:
            Add b i a s e s to the end of b i a s e s
17:
      endfor
18:
      return  w e i g h t s , b i a s e s
19:
end function
20:
 
21:
function FORWARDPASS(X, w e i g h t s , b i a s e s )
22:
       h i d d e n o u t p u t s [ X . T ]
23:
      for  i 1 to l e n ( w e i g h t s ) 1  do
24:
             a c t i v a t i o n s d o t ( w e i g h t s [ i ] , h i d d e n o u t p u t s [ i ] + b i a s e s [ i ] )
25:
             o u t p u t s R e L U ( a c t i v a t i o n s )
26:
            Add o u t p u t s to the end of h i d d e n o u t p u t s
27:
      endfor
28:
      return  h i d d e n o u t p u t s
29:
end function
30:
 
31:
function ReLU(x)
32:
      return  m a x ( 0 , x )
33:
end function
34:
 
35:
function FIT(X,Y)
36:
       W e i g h t s [ ]
37:
       B i a s e s [ ]
38:
       n s a m p l e s , n f e a t u r e s X . s h a p e
39:
       w e i g h t s , b i a s e s I N I T I A L I Z E P A R A M E T E R S ( n f e a t u r e s )
40:
      for  i t e r a t i o n 0 to m a x i r e r  do
41:
             h i d d e n o u t p u t s F O R W A R D P A S S ( X )
42:
             o u t p u t e r r o r s Y . T h i d d e n o u t p u t s [ 1 ]
43:
            for  i l e n ( w e i g h t s ) 1 to 0 step d  do
44:
                         h i d d e n e r r o r s d o t ( w e i g h t s [ i ] . T , o u t p u t e r r o r s )
45:
                         o u t p u t g r a d i e n t s o u t p u t e r r o r s · R e L U D e r i v a t i v e ( h i d d e n o u t p u t s [ i + 1 ] )
46:
                         h i d d e n g r a d i e n t s h i d d e n e r r o r s · R e L U D e r i v a t i v e ( h i d d e n o u t p u t s [ i ] )
47:
                         w e i g h t s [ i ] w e i g h t s [ i ] + l e a r n i n g r a t e · d o t ( o u t p u t g r a d i e n t s , h i d d e n o u t p u t s [ i ] . T )
48:
                        Add w e i g h t s [ i ] to the end of W e i g h t s
49:
                         b i a s e s [ i ] b i a s e s [ i ] + l e a r n i n g r a t e · s u m ( o u t p u t g r a d i e n t s , a x i s 1 , k e e p d i m s = T r u e )
50:
                        Add b i a s e s [ i ] to the end of B i a s e s
51:
                         o u t p u t e r r o r s h i d d e n g r a d i e n t s
52:
            endfor
53:
      endfor
54:
      return  W e i g h t s , B i a s e s
55:
end function
56:
 
57:
function ReLUDerivative(x)
58:
if x > 0 then
59:
      return 1
60:
else
61:
      return 0
62:
end function
Algorithm A3 DNN Model Prediction
Input: 
X i n p u t = { V g s , V d s , V b s , L }
Output: 
Y:14-dimensional DC parameters
1:
Generator feature X according to Alogrithm A1 using X i n p u t
2:
M o d e l Load the model trained by Algorithm A2
3:
w e i g h t s M o d e l . W e i g h t s
4:
b i a s e s M o d e l . B i a s e s
5:
Y P R E D I C T ( X , w e i g h t s , b i a s e s ) [ 1 ]
6:
return Y
7:
 
8:
function PREDICT(X, w e i g h t s , b i a s e s )
9:
       h i d d e n o u t p u t s [ X . T ]
10:
      for  i 1 to l e n ( w e i g h t s ) 1  do
11:
             a c t i v a t i o n s d o t ( w e i g h t s [ i ] , h i d d e n o u t p u t s [ i ] + b i a s e s [ i ] )
12:
             o u t p u t s R e L U ( a c t i v a t i o n s )
13:
            Add o u t p u t s to the end of h i d d e n o u t p u t s
14:
      endfor
15:
      return  h i d d e n o u t p u t s
16:
end function
17:
 
18:
function ReLU(x)
19:
      return  m a x ( 0 , x )
20:
end function

References

  1. Uhlmann, Y.; Brunner, M.; Bramlage, L.; Scheible, J.; Curio, C. Procedural- and Reinforcement-Learning-Based Automation Methods for Analog Integrated Circuit Sizing in the Electrical Design Space. Electronics 2023, 12, 302. [Google Scholar] [CrossRef]
  2. Gielen, G.G.E. CAD tools for embedded analogue circuits in mixed-signal integrated systems on chip. IEEE Proc.-Comput. Digit. Tech. 2005, 152, 317–332. [Google Scholar] [CrossRef]
  3. Liu, B.; Fernández, F.V.; Gielen, G.; Castro-López, R.; Roca, E. A memetic approach to the automatic design of high-performance analog integrated circuits. ACM Trans. Des. Autom. Electron. Syst. (TODAES) 2009, 14, 1–24. [Google Scholar] [CrossRef]
  4. Scheible, J. Optimized is Not Always Optimal. In Proceedings of the 2022 Symposium on International Symposium on Physical Design (ISPD ’22), Virtual Event, 27–30 March 2022; pp. 151–158. [Google Scholar] [CrossRef]
  5. Silveira, F.; Flandre, D.; Jespers, P.G.A. A gm/ID based methodology for the design of CMOS analog circuits and its application to the synthesis of a silicon-on-insulator micropower OTA. IEEE J.-Solid-State Circuits 1996, 31, 1314–1319. [Google Scholar] [CrossRef]
  6. Jespers, P.; Murmann, B. Systematic Design of Analog CMOS Circuits Using Pre-Computed Lookup Tables; Cambridge University Press: Cambridge, UK, 2017. [Google Scholar] [CrossRef]
  7. Kumar, T.B.; Sharma, G.K.; Johar, A.K.; Gupta, D.; Kar, S.K.; Boolchandani, D. Design Automation of 5-T OTA using gm/ID methodology. In Proceedings of the 2019 IEEE Conference on Information and Communication Technology, Allahabad, India, 6–8 December 2019; pp. 1–5. [Google Scholar] [CrossRef]
  8. Shi, G. Sizing of multi-stage Op Amps by combining design equations with the gm/ID method. Integration 2021, 79, 48–60. [Google Scholar] [CrossRef]
  9. Omran, H.; Amer, M.H.; Mansour, A.M. Systematic design of bandgap voltage reference using precomputed lookup tables. IEEE Access 2019, 7, 100131–100142. [Google Scholar] [CrossRef]
  10. Elmeligy, K.; Omran, H. Fast design space exploration and multi-objective optimization of wide-band noise-canceling LNAs. Electronics 2022, 11, 816. [Google Scholar] [CrossRef]
  11. Gebreyohannes, F.T.; Porte, J.; Louërat, M.M.; Aboushady, H. A gm/ID methodology based data-driven search algorithm for the design of multistage multipath feed-forward-compensated amplifiers targeting high speed continuous-time ∑Δ-modulators. IEEE Trans.-Comput.-Aided Des. Integr. Circuits Syst. 2020, 39, 4311–4324. [Google Scholar] [CrossRef]
  12. Youssef, A.A.; Murmann, B.; Omran, H. Analog IC Design Using Precomputed Lookup Tables: Challenges and Solutions. IEEE Access 2020, 8, 134640–134652. [Google Scholar] [CrossRef]
  13. Habal, H.; Tsonev, D.; Schweikardt, M. Compact models for initial MOSFET sizing based on higher-order artificial neural networks. In Proceedings of the 2020 ACM/IEEE Workshop on Machine Learning for CAD, Virtual Event, 16–20 November 2020; pp. 111–116. [Google Scholar] [CrossRef]
  14. Yang, Z.K.; Hsu, M.H.; Chang, C.Y.; Ho, Y.W.; Liu, P.N.; Lin, A. Circuit convergence study using machine learning compact models. engrxiv 2021. [Google Scholar] [CrossRef]
  15. Ho, Y.W.; Rawat, T.S.; Yang, Z.K.; Pratik, S.; Lai, G.W.; Tu, Y.L.; Lin, A. Neuroevolution-based efficient field effect transistor compact device models. IEEE Access 2021, 9, 159048–159058. [Google Scholar] [CrossRef]
  16. Wang, Y.; Xin, J.; Liu, H.; Qin, Q.; Chai, C.; Lu, Y.; Hao, J.; Xiao, J.; Ye, Z.; Wang, Y. DC-Model: A New Method for Assisting the Analog Circuit Optimization. In Proceedings of the 2023 24th International Symposium on Quality Electronic Design (ISQED), San Francisco, CA, USA, 5–7 April 2023; pp. 1–7. [Google Scholar] [CrossRef]
  17. Qi, G.; Chen, X.; Hu, G.; Zhou, P.; Bao, W.; Lu, Y. Knowledge-based neural network SPICE modeling for MOSFETs and its application on 2D material field-effect transistors. Inf. Sci. 2023, 66, 122405:1–122405:10. [Google Scholar] [CrossRef]
  18. Wang, Q.; Ye, M.; Li, Y.; Zheng, X.; He, J.; Du, J.; Zhao, Y. MOSFET modeling of 0.18 μm CMOS technology at 4.2 K using BP neural network. Microelectron. J. 2023, 132, 105678. [Google Scholar] [CrossRef]
  19. Fu, L.; Wang, F. The performance prediction model of NMOSFET based on BP neural network. In Proceedings of the Third International Conference on Sensors and Information Technology (ICSI 2023), Xiamen, China, 6–8 January 2023; pp. 162–169. [Google Scholar] [CrossRef]
  20. Wei, J.; Zhao, T.; Zhang, Z.; Wan, J. Modeling of CMOS transistors from 0.18 μm process by artificial neural network. Integration 2022, 87, 11–15. [Google Scholar] [CrossRef]
  21. Glorot, X.; Bordes, A.; Bengio, Y. Deep Sparse Rectifier Neural Networks. In Proceedings of the Fourteenth International Conference on Artificial Intelligence and Statistics, Proceedings of Machine Learning Research, Fort Lauderdale, FL, USA, 11–13 April 2011; Gordon, G., Dunson, D., Dudík, M., Eds.; PMLR: Fort Lauderdale, FL, USA, 2011; Volume 15, pp. 315–323. Available online: https://proceedings.mlr.press/v15/glorot11a.html (accessed on 13 February 2022).
  22. Hearst, M.A.; Dumais, S.T.; Osuna, E.; Platt, J.; Scholkopf, B. Support vector machines. IEEE Intell. Syst. Their Appl. 1998, 13, 18–28. [Google Scholar] [CrossRef] [Green Version]
  23. Webb, G.I.; Boughton, J.R.; Wang, Z. Not so naive Bayes: Aggregating one-dependence estimators. Mach. Learn. 2005, 58, 5–24. [Google Scholar] [CrossRef] [Green Version]
  24. Breiman, L. Random forests. Mach. Learn. 2001, 45, 5–32. [Google Scholar] [CrossRef] [Green Version]
  25. Quinlan, J.R. Induction of decision trees. Mach. Learn. 1986, 1, 81–106. [Google Scholar] [CrossRef] [Green Version]
  26. Quinlan, J.R. C4.5: Programs for Machine Learning; Morgan Kaufmann Publishers Inc.: San Francisco, CA, USA, 1993. [Google Scholar]
  27. Arik, S.Ö.; Pfister, T. Tabnet: Attentive interpretable tabular learning. In Proceedings of the AAAI Conference on Artificial Intelligence, Virtual Event, 2–9 February 2021; pp. 6679–6687. [Google Scholar] [CrossRef]
  28. Chen, T.; Guestrin, C. Xgboost: A scalable tree boosting system. In Proceedings of the 22nd ACM Sigkdd International Conference on Knowledge Discovery and Data Mining, Long Beach, CA, USA, 13–17 August 2016; pp. 785–794. [Google Scholar] [CrossRef] [Green Version]
  29. Ke, G.; Meng, Q.; Finley, T.; Wang, T.; Chen, W.; Ma, W.; Liu, T.Y. Lightgbm: A highly efficient gradient boosting decision tree. In Proceedings of the 31st International Conference on Neural Information Processing Systems, Red Hook, NY, USA, 4–9 December 2017; pp. 3149–3157. [Google Scholar] [CrossRef]
  30. Hu, W.; Ma, D.; Pan, Z.; Ye, Z.; Wang, Y. DNFIT Based Curve Fitting And Prediction In Semiconductor Modeling And Simulation. In Proceedings of the 2019 International Conference on IC Design and Technology (ICICDT), Suzhou, China, 17–19 June 2019; pp. 1–4. [Google Scholar] [CrossRef]
Figure 1. Model architecture.
Figure 1. Model architecture.
Electronics 12 02780 g001
Figure 2. The design flow of the proposed sizing method.
Figure 2. The design flow of the proposed sizing method.
Electronics 12 02780 g002
Figure 3. The working details of the V d s m a r g parameter.
Figure 3. The working details of the V d s m a r g parameter.
Electronics 12 02780 g003
Figure 4. DNN model (NMOS) compared to the LUT and HSPICE in T40 for L = 1 µm, V d s = 0.6 V and V b s = 0 V. (a) g m -versus- V g s curves. (b) The absolute percentage error curve of DNN and LUT relative to HSPICE for g m . (c) I d -versus- V g s curves. (d) The absolute percentage error curve of DNN and LUT relative to HSPICE for I d .
Figure 4. DNN model (NMOS) compared to the LUT and HSPICE in T40 for L = 1 µm, V d s = 0.6 V and V b s = 0 V. (a) g m -versus- V g s curves. (b) The absolute percentage error curve of DNN and LUT relative to HSPICE for g m . (c) I d -versus- V g s curves. (d) The absolute percentage error curve of DNN and LUT relative to HSPICE for I d .
Electronics 12 02780 g004
Figure 5. DNN model (NMOS) compared to the LUT and HSPICE in T65 for L = 1 µm, V d s = 0.6 V, and V b s = 0 V. (a) g m -versus- V g s curves. (b) The absolute percentage error curve of DNN and LUT relative to HSPICE for g m . (c) I d -versus- V g s curves. (d) The absolute percentage error curve of DNN and LUT relative to HSPICE for I d .
Figure 5. DNN model (NMOS) compared to the LUT and HSPICE in T65 for L = 1 µm, V d s = 0.6 V, and V b s = 0 V. (a) g m -versus- V g s curves. (b) The absolute percentage error curve of DNN and LUT relative to HSPICE for g m . (c) I d -versus- V g s curves. (d) The absolute percentage error curve of DNN and LUT relative to HSPICE for I d .
Electronics 12 02780 g005
Figure 6. DNN model (NMOS) compared to the LUT and HSPICE in T180 for L = 1 µm, V d s = 0.6 V, and V b s = 0 V. (a) g m -versus- V g s curves. (b) The absolute percentage error curve of DNN and LUT relative to HSPICE for g m . (c) I d -versus- V g s curves. (d) The absolute percentage error curve of DNN and LUT relative to HSPICE for I d .
Figure 6. DNN model (NMOS) compared to the LUT and HSPICE in T180 for L = 1 µm, V d s = 0.6 V, and V b s = 0 V. (a) g m -versus- V g s curves. (b) The absolute percentage error curve of DNN and LUT relative to HSPICE for g m . (c) I d -versus- V g s curves. (d) The absolute percentage error curve of DNN and LUT relative to HSPICE for I d .
Electronics 12 02780 g006
Figure 7. DNN model (NMOS) compared to the LUT and HSPICE in S180 for L = 1 µm, V d s = 0.6 V, and V b s = 0 V. (a) g m -versus- V g s curves. (b) The absolute percentage error curve of DNN and LUT relative to HSPICE for g m . (c) I d -versus- V g s curves. (d) The absolute percentage error curve of DNN and LUT relative to HSPICE for I d .
Figure 7. DNN model (NMOS) compared to the LUT and HSPICE in S180 for L = 1 µm, V d s = 0.6 V, and V b s = 0 V. (a) g m -versus- V g s curves. (b) The absolute percentage error curve of DNN and LUT relative to HSPICE for g m . (c) I d -versus- V g s curves. (d) The absolute percentage error curve of DNN and LUT relative to HSPICE for I d .
Electronics 12 02780 g007
Figure 8. Folded cascode operation amplifier (FC OPAMP).
Figure 8. Folded cascode operation amplifier (FC OPAMP).
Electronics 12 02780 g008
Figure 9. Miller operational amplifier (MI OPAMP).
Figure 9. Miller operational amplifier (MI OPAMP).
Electronics 12 02780 g009
Table 1. The hyperparameter settings of DNN.
Table 1. The hyperparameter settings of DNN.
NameValue
Input layer dimensions74
Number of hidden layers6
Hidden layer dimension(150,120,120,120,120,150)
Output layer dimensions14
Activation functionReLU
Learning rate 10 5
Loss functionMSE
Training epochs1000
OptimizerAdam
Tolerance 10 5
Batch size256
Table 2. The metrics and statements of the DNN models.
Table 2. The metrics and statements of the DNN models.
No. Metric Satement
1SizeThe storage capacity utilized by the model
2TimeThe average inference time consumed by the model for one prediction
3MAPEThe mean absolute percentage error between the predicted value and the true value
4MREThe maximum relative error between the predicted value and the true value
Table 3. The V g s , V d s , V b s , L range and step size of transistors each PDK on the dataset.
Table 3. The V g s , V d s , V b s , L range and step size of transistors each PDK on the dataset.
NameT40T65T180S180Unit
W5555µm
V g s 0.1–1.20.1–1.20.1–1.80.1–1.8V
V d s 0.1–1.20.1–1.20.1–1.80.1–1.8V
V b s 0–1.20–1.20–1.80–1.8V
L0.5–60.5–60.5–60.5–6µm
The values in this table are absolute values.
Table 4. The size and the including parameters of the dataset according to Table 3.
Table 4. The size and the including parameters of the dataset according to Table 3.
PDKSizeParameter
T401.4 GB I d , V t h , V d s a t , g m , f u g ,
g d s , C g g , C g s , g m / I d ,
g m r o , C g d , C d g , r o n ,
and C d d
T65
T1804.4 GB
S180
Size: including PMOS and NMOS.
Table 5. The ML models for comparison.
Table 5. The ML models for comparison.
No. Acronym Full Name
1ABRAdaBoost Regressor
2BGRBagging Regressor
3BRRBayesian Ridge Regression
4DNFitDenominator Numerator Fit
5DNNDeep Neural Networks
6DTDecision Tree
7ENElasticNet
8GBRGradient Boosting Regressor
9KNNK-Nearest Neighbors
10LASSOLeast Absolute Shrinkage and Selection Operator
11LARSLeast Angle Regression
12LLLassoLars
13LGBMLight Gradient Boosting Machine
14PARPassive Aggressive Regressor
15PLSRPartial Least Squares Regression
16RFRandom Forest
17RRRidge Regression
18SVRSupport Vector Regression
19TNTabNet
20XGBoostExtreme Gradient Boosting
Table 6. The performance of different ML models for I d parameter on the test set.
Table 6. The performance of different ML models for I d parameter on the test set.
No. Model Name Total Time(s) Size(KB) MRE MAPE
1DNN0.66821600.02280.00191
2DNFit0.017676,4210.090.007
3BGR2.544,059,8740.090.009
4DT0.00295101580.160.009
5RF0.202642,2380.100.006
6XGBoost0.01057300.120.019
7BRR0.006611100.160.026
8RR0.002881.480.180.026
9TN0.1014950.450.061
10GBR0.0130175.10.440.067
11PAR0.001481.730.420.108
12ABR0.0544612.780.411
13KNN11.77491.114.590.145
14LL0.001232.5623,963.87259.37
15LASSO0.001781.56223.358.28
16LARS0.000850127.31352,261.34230.09
17EN0.004741.57104.864.910
18SVR1.062079.3632.770.17
19LGBM0.010269.0554.992.03
20PLSR0.004862548.77199.571.49
Table 7. The hyperparameters settings of single-output DNN.
Table 7. The hyperparameters settings of single-output DNN.
Name Value
Input layer dimensions116
Number of hidden layers5
Hidden layer dimension(110,100,100,100,110)
Output layer dimensions1
Activation functionReLU
Learning rate 10 5
Loss functionMSE
Training epochs1000
OptimizerAdam
Tolerance 10 5
Batch size256
Table 8. The performance comparison between multi-output and single-output DNN models on T65 NMOS I d parameter on the test set.
Table 8. The performance comparison between multi-output and single-output DNN models on T65 NMOS I d parameter on the test set.
NameTotal Time (s)Size (KB)MREMAPE
Multi-output DNN0.668021600.02280.00191
Single-output DNN0.035323020.03320.00297
Table 9. The MRE and MAPE results of DNN models between multi-output and single-output on the test set.
Table 9. The MRE and MAPE results of DNN models between multi-output and single-output on the test set.
PDKDeviceParametersMulti-OutputSingle-Output
MAPEMREMAPEMRE
T65NMOS I d 1.91 × 10 3 2.28 × 10 2 2.97 × 10 3 3.32 × 10 2
V t h 9.40 × 10 5 1.18 × 10 3 6.74 × 10 5 8.78 × 10 4
V d s a t 6.80 × 10 4 8.24 × 10 3 5.25 × 10 4 7.98 × 10 4
g m 1.75 × 10 3 2.88 × 10 2 2.88 × 10 4 6.19 × 10 2
f u g 1.85 × 10 3 2.01 × 10 2 2.26 × 10 4 3.29 × 10 2
g d s 2.19 × 10 3 2.08 × 10 3 3.53 × 10 4 4.58 × 10 2
C g g 7.38 × 10 4 2.69 × 10 2 7.96 × 10 4 9.94 × 10 4
C g s 1.10 × 10 4 8.60 × 10 3 1.33 × 10 4 1.62 × 10 2
g m / I d 7.33 × 10 4 7.73 × 10 3 5.31 × 10 4 8.60 × 10 4
g m r o 1.35 × 10 4 1.84 × 10 2 1.57 × 10 4 2.89 × 10 2
C g d 9.54 × 10 4 9.65 × 10 3 1.22 × 10 4 2.68 × 10 2
C d g 9.66 × 10 4 1.47 × 10 2 1.01 × 10 4 1.87 × 10 2
r o n 2.62 × 10 4 2.68 × 10 2 2.63 × 10 4 3.64 × 10 2
C d d 7.99 × 10 4 9.20 × 10 3 9.43 × 10 4 1.80 × 10 2
PMOS I d 1.91 × 10 3 1.89 × 10 2 2.29 × 10 3 3.26 × 10 2
V t h 7.24 × 10 5 1.12 × 10 3 4.04 × 10 5 4.85 × 10 4
V d s a t 6.46 × 10 4 7.03 × 10 3 4.53 × 10 4 7.30 × 10 3
g m 1.73 × 10 3 1.75 × 10 2 2.39 × 10 3 3.64 × 10 2
f u g 1.77 × 10 3 2.01 × 10 2 2.14 × 10 3 2.98 × 10 2
g d s 2.30 × 10 3 2.63 × 10 2 4.35 × 10 3 4.55 × 10 2
C g g 7.10 × 10 4 1.07 × 10 2 7.85 × 10 4 9.67 × 10 3
C g s 1.03 × 10 3 1.53 × 10 2 1.14 × 10 3 1.39 × 10 2
g m / I d 6.22 × 10 4 6.99 × 10 3 5.23 × 10 4 7.72 × 10 3
g m r o 1.53 × 10 3 1.60 × 10 2 1.67 × 10 3 2.29 × 10 2
C g d 6.17 × 10 4 1.02 × 10 2 7.61 × 10 4 1.68 × 10 2
C d g 7.43 × 10 4 9.51 × 10 3 9.93 × 10 4 1.65 × 10 2
r o n 2.68 × 10 3 3.25 × 10 2 3.22 × 10 3 3.43 × 10 2
C d d 6.61 × 10 4 9.26 × 10 3 8.50 × 10 4 1.59 × 10 2
T40NMOS I d 1.72 × 10 3 1.62 × 10 2 2.46 × 10 3 3.91 × 10 2
V t h 8.92 × 10 5 1.13 × 10 3 5.90 × 10 5 6.39 × 10 4
V d s a t 6.61 × 10 4 8.12 × 10 3 6.25 × 10 4 7.35 × 10 3
g m 1.48 × 10 3 1.57 × 10 2 2.15 × 10 3 3.35 × 10 2
f u g 1.53 × 10 3 1.80 × 10 2 2.65 × 10 3 2.86 × 10 2
g d s 1.76 × 10 3 1.98 × 10 2 3.04 × 10 3 6.04 × 10 2
C g g 6.74 × 10 4 8.03 × 10 3 7.39 × 10 4 9.37 × 10 3
C g s 1.03 × 10 3 1.73 × 10 2 1.52 × 10 3 3.20 × 10 2
g m / I d 6.57 × 10 4 7.19 × 10 3 5.49 × 10 4 7.27 × 10 3
g m r o 1.12 × 10 3 1.60 × 10 2 1.31 × 10 3 3.06 × 10 2
C g d 9.28 × 10 4 1.31 × 10 2 1.21 × 10 3 1.92 × 10 2
C d g 1.10 × 10 3 1.21 × 10 2 1.36 × 10 3 1.99 × 10 2
r o n 2.12 × 10 3 2.17 × 10 2 2.91 × 10 3 3.70 × 10 2
C d d 8.67 × 10 4 1.08 × 10 2 9.79 × 10 4 1.90 × 10 2
PMOS I d 2.13 × 10 3 2.34 × 10 2 2.32 × 10 3 3.07 × 10 2
V t h 1.03 × 10 4 1.32 × 10 3 4.64 × 10 5 5.45 × 10 4
V d s a t 8.02 × 10 4 9.22 × 10 3 5.96 × 10 4 7.17 × 10 3
g m 1.79 × 10 3 2.24 × 10 2 2.40 × 10 3 3.86 × 10 2
f u g 1.98 × 10 3 2.26 × 10 2 2.52 × 10 3 3.21 × 10 2
g d s 2.23 × 10 3 3.09 × 10 2 3.15 × 10 3 4.36 × 10 2
C g g 7.95 × 10 4 8.97 × 10 3 7.93 × 10 4 9.93 × 10 3
C g s 1.22 × 10 3 1.97 × 10 2 1.12 × 10 3 1.84 × 10 2
g m / I d 7.55 × 10 4 8.36 × 10 3 5.45 × 10 4 1.54 × 10 2
g m r o 1.34 × 10 3 2.00 × 10 2 1.60 × 10 3 2.12 × 10 2
C g d 1.12 × 10 3 1.66 × 10 2 1.38 × 10 3 2.40 × 10 2
C d g 1.06 × 10 3 1.53 × 10 2 1.03 × 10 3 1.48 × 10 2
r o n 2.68 × 10 3 3.33 × 10 2 2.42 × 10 3 2.75 × 10 2
C d d 9.26 × 10 4 1.34 × 10 2 1.24 × 10 3 1.99 × 10 2
T180NMOS I d 1.69 × 10 3 4.27 × 10 2 2.66 × 10 3 2.14 × 10 2
V t h 8.98 × 10 5 1.42 × 10 3 5.01 × 10 5 8.74 × 10 4
V d s a t 5.56 × 10 4 7.98 × 10 3 3.83 × 10 4 7.76 × 10 3
g m 1.52 × 10 3 4.14 × 10 2 1.72 × 10 3 2.81 × 10 2
f u g 1.52 × 10 3 4.51 × 10 2 1.41 × 10 3 2.52 × 10 2
g d s 1.93 × 10 3 3.33 × 10 2 2.35 × 10 3 5.03 × 10 2
C g g 4.37 × 10 4 5.89 × 10 3 3.32 × 10 4 5.14 × 10 3
C g s 7.57 × 10 4 1.19 × 10 2 4.97 × 10 4 8.36 × 10 3
g m / I d 5.73 × 10 4 9.02 × 10 3 5.29 × 10 4 9.68 × 10 3
g m r o 1.20 × 10 3 3.22 × 10 2 8.27 × 10 4 2.64 × 10 2
C g d 5.02 × 10 4 1.10 × 10 2 3.16 × 10 4 9.96 × 10 3
C d g 5.53 × 10 4 9.21 × 10 3 3.83 × 10 4 8.28 × 10 3
r o n 2.15 × 10 3 5.36 × 10 2 1.18 × 10 3 2.13 × 10 2
C d d 4.30 × 10 4 8.49 × 10 3 3.95 × 10 4 1.03 × 10 2
PMOS I d 1.64 × 10 3 2.45 × 10 2 1.84 × 10 3 1.99 × 10 2
V t h 6.42 × 10 5 1.06 × 10 3 5.74 × 10 5 4.16 × 10 3
V d s a t 4.58 × 10 4 7.05 × 10 3 3.57 × 10 4 7.14 × 10 3
g m 1.44 × 10 3 2.41 × 10 2 1.68 × 10 3 3.19 × 10 2
f u g 1.46 × 10 3 2.29 × 10 2 1.56 × 10 3 2.23 × 10 2
g d s 1.85 × 10 3 3.43 × 10 2 2.04 × 10 3 5.35 × 10 2
C g g 4.17 × 10 4 7.14 × 10 3 3.36 × 10 4 5.60 × 10 3
C g s 7.25 × 10 4 1.43 × 10 2 4.62 × 10 4 1.03 × 10 2
g m / I d 4.70 × 10 4 6.99 × 10 3 2.81 × 10 4 6.01 × 10 3
g m r o 1.11 × 10 3 2.52 × 10 2 1.04 × 10 3 2.65 × 10 2
C g d 4.82 × 10 4 8.62 × 10 3 2.97 × 10 4 9.75 × 10 3
C d g 5.33 × 10 4 9.33 × 10 3 3.43 × 10 4 6.63 × 10 3
r o n 2.00 × 10 3 3.06 × 10 2 1.60 × 10 3 2.37 × 10 2
C d d 3.71 × 10 4 8.56 × 10 3 2.99 × 10 4 8.02 × 10 3
S180NMOS I d 1.38 × 10 3 2.26 × 10 2 1.87 × 10 3 2.75 × 10 2
V t h 7.59 × 10 5 1.59 × 10 3 2.57 × 10 5 4.14 × 10 4
V d s a t 4.24 × 10 4 1.04 × 10 2 8.28 × 10 4 9.80 × 10 3
g m 1.25 × 10 3 3.08 × 10 2 1.42 × 10 3 2.04 × 10 2
f u g 1.31 × 10 3 2.21 × 10 2 1.84 × 10 3 3.23 × 10 2
g d s 1.75 × 10 3 3.24 × 10 2 1.93 × 10 3 6.85 × 10 2
C g g 3.63 × 10 4 6.87 × 10 3 4.70 × 10 4 1.65 × 10 2
C g s 5.71 × 10 4 1.06 × 10 2 3.97 × 10 4 7.04 × 10 3
g m / I d 4.82 × 10 4 9.93 × 10 3 2.96 × 10 4 1.25 × 10 2
g m r o 1.18 × 10 3 2.79 × 10 2 9.21 × 10 4 3.36 × 10 2
C g d 5.32 × 10 4 8.74 × 10 3 4.42 × 10 4 1.07 × 10 2
C d g 4.85 × 10 4 8.41 × 10 3 5.72 × 10 4 1.88 × 10 2
r o n 1.92 × 10 3 2.97 × 10 2 1.56 × 10 3 3.47 × 10 2
C d d 3.38 × 10 4 6.28 × 10 3 2.85 × 10 4 7.34 × 10 3
PMOS I d 1.41 × 10 3 2.32 × 10 2 1.32 × 10 3 2.30 × 10 2
V t h 6.94 × 10 5 1.16 × 10 3 3.47 × 10 5 6.92 × 10 4
V d s a t 4.41 × 10 4 6.61 × 10 3 1.86 × 10 4 3.25 × 10 3
g m 1.29 × 10 3 2.36 × 10 2 1.52 × 10 3 2.69 × 10 2
f u g 1.30 × 10 3 2.36 × 10 2 1.13 × 10 3 3.09 × 10 2
g d s 1.57 × 10 3 3.14 × 10 2 2.71 × 10 3 4.40 × 10 2
C g g 3.60 × 10 4 6.69 × 10 3 3.59 × 10 4 7.58 × 10 3
C g s 6.18 × 10 4 8.84 × 10 3 4.28 × 10 4 6.85 × 10 3
g m / I d 4.41 × 10 4 7.18 × 10 3 7.28 × 10 4 2.22 × 10 2
g m r o 1.03 × 10 3 2.34 × 10 2 8.41 × 10 4 1.85 × 10 2
C g d 4.91 × 10 4 7.96 × 10 3 5.58 × 10 4 2.08 × 10 2
C d g 4.98 × 10 4 7.12 × 10 3 6.03 × 10 4 1.42 × 10 2
r o n 1.82 × 10 3 3.56 × 10 2 1.28 × 10 3 3.96 × 10 2
C d d 3.40 × 10 4 5.77 × 10 3 2.28 × 10 4 9.01 × 10 3
Table 10. The comparison of time performance between LUTs and DNN models.
Table 10. The comparison of time performance between LUTs and DNN models.
Scale of Data (The Exponent of 10)Time (s)
DNN ModelsLUTs
00.000810.00132
10.003660.00113
20.007470.00169
30.058340.00487
40.297650.03070
Table 11. Specification for FC OPAMP in T40, T65, T180, and S180 technologies.
Table 11. Specification for FC OPAMP in T40, T65, T180, and S180 technologies.
ParameterUnitSpecification (T40 and T65)Specification (T180 and S180)
V D D V1.21.8
C L pF52
DC loop gain ( A 0 )dB≥55≥65
Gain-band width ( G B W )MHz≥ 20≥50
Phase margin ( P M ) ≥60≥60
Common mode rejection ratio ( C M R R )dB≥70≥80
Slew rate ( S R )V/us≥ 10≥20
Areaµm 2 minimumminimum
Table 12. The design variable of each transistor in FC OPAMP.
Table 12. The design variable of each transistor in FC OPAMP.
ParameterUnitMinMax
( g m / I d ) 0 S/A1015
( g m / I d ) 1 , 2 S/A1527
( g m / I d ) 3 , 4 S/A1217
( g m / I d ) 5 , 6 S/A1217
( g m / I d ) 7 , 8 S/A1217
( g m / I d ) 9 , 10 S/A1217
Lµm0.52.5
Table 13. List of device sizes of the FC OPAMP.
Table 13. List of device sizes of the FC OPAMP.
ParameterUnitT40T65T180S180
( W / L ) 0 µm/µm10.4/0.537.6/0.512.8/0.510.4/0.5
( W / L ) 1 , 2 µm/µm112/1.184.6/0.573/0.843/0.8
( W / L ) 3 , 4 µm/µm124/1.139.2/0.511.2/0.84.2/0.8
( W / L ) 5 , 6 µm/µm140.8/1.141/0.516/0.85.8/0.8
( W / L ) 7 , 8 µm/µm30.4/1.115.2/0.532/0.824/0.8
( W / L ) 9 , 10 µm/µm22.8/1.110.8/0.517.2/0.816/0.8
Table 14. Pre-simulation results of FC OPAMP.
Table 14. Pre-simulation results of FC OPAMP.
ParameterUnitT40T65T180S180
A 0 dB55.359.269.569.8
G B W MHz22.624.153.454.5
P M 71.985.673.668.6
C M R R dB88.6115.6103.3118.5
S R V/us11.313.235.126.5
areaµm 2 951.2209.6243.8159.1
Table 15. Post-simulation results of FC OPAMP.
Table 15. Post-simulation results of FC OPAMP.
ParameterUnitT40T65T180S180
A 0 dB55.058.668.269.4
G B W MHz20.922.551.552.1
P M 65.583.876.879.0
C M R R dB72.182.993.598.1
S R V/µs10.412.526.924.7
Table 16. The specification of MI OPAMP for T40, T65, T180, and S180 technologies.
Table 16. The specification of MI OPAMP for T40, T65, T180, and S180 technologies.
ParameterUnitSpecification (T40 and T65)Specification (T180 and S180)
V D D V1.21.8
C L pF55
A 0 dB≥60≥72
G B W MHz≥25≥30
P M ≥60≥60
C M R R dB≥50≥70
S R V/us≥ 10≥15
areaµm 2 minimumminimum
Table 17. The design variable of each device in MI OPAMP.
Table 17. The design variable of each device in MI OPAMP.
ParameterUnitMinMax
( g m / I d ) 0 S/A1015
( g m / I d ) 1 , 2 S/A1527
( g m / I d ) 3 , 4 S/A1015
Lµm0.52.5
C c pF12
R z Ω 10005000
Table 18. List of device sizes of the MI OPAMP.
Table 18. List of device sizes of the MI OPAMP.
ParameterUnitT40T65T180S180
( W / L ) 0 µm/µm17.6/0.515/0.528/0.527/0.5
( W / L ) 1 , 2 µm/µm312/282.8/0.546/0.538.4/0.5
( W / L ) 3 , 4 µm/µm10.4/2.31.6/0.53.2/0.52.7/0.5
( W / L ) 5 µm/µm70/1.417.6/0.536/0.529.4/0.5
( W / L ) 6 µm/µm214.2/2.3128/1.1221/0.5126/0.5
( W / L ) 7 µm/µm4.4/0.56/0.57/0.56/0.5
C c pF1.751.51.51.5
R z k Ω 21.61.11.2
Table 19. Pre-simulation results of MI OPAMP.
Table 19. Pre-simulation results of MI OPAMP.
ParameterUnitT40T65T180S180
A 0 dB62.064.876.076.1
G B W MHz31.828.132.335.1
P M 84.364.573.272.2
C M R R dB53.554.277.276.9
S R V/us23.914.220.823.3
areaµm 2 1895.3241.5258132.3
Table 20. Post-simulation results of MI OPAMP.
Table 20. Post-simulation results of MI OPAMP.
ParameterUnitT40T65T180S180
A 0 dB61.563.675.474.9
G B W MHz29.427.731.833.7
P M °81.764.764.769.6
C M R R dB52.953.675.274.5
S R V/µs21.713.820.122.4
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Wu, Q.; Liu, H.; Xin, J.; Li, L.; Ye, Z.; Wang, Y. Deep Neural Networks-Based Direct-Current Operation Prediction and Circuit Migration Design. Electronics 2023, 12, 2780. https://doi.org/10.3390/electronics12132780

AMA Style

Wu Q, Liu H, Xin J, Li L, Ye Z, Wang Y. Deep Neural Networks-Based Direct-Current Operation Prediction and Circuit Migration Design. Electronics. 2023; 12(13):2780. https://doi.org/10.3390/electronics12132780

Chicago/Turabian Style

Wu, Qingsen, Haixu Liu, Jian Xin, Lin Li, Zuochang Ye, and Yan Wang. 2023. "Deep Neural Networks-Based Direct-Current Operation Prediction and Circuit Migration Design" Electronics 12, no. 13: 2780. https://doi.org/10.3390/electronics12132780

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop