skip to main content
research-article

AIMCU-MESO: An In-Memory Computing Unit Constructed by MESO Device

Authors Info & Claims
Published:10 December 2022Publication History
Skip Abstract Section

Abstract

Traditional CMOS-based von-Neumann computer architecture faces the issue of memory wall that the limitation of bus-bandwidth and the speed mismatch between processor and memory restrict the efficiency of data processing along with an irreducible energy consumption conducted by data movement, especially in some data-intensive applications. Recently, some novel in-memory computing (IMC) paradigms developed by utilizing the characteristics of different non-volatile memories provide promising ways to overcome the bottleneck of memory wall. Here, we propose a new IMC unit based on a memory array with the core element of magnetoelectric spin-orbit logic (MESO) device (AIMCU-MESO), in which the characteristics of the MESO device are exploited to achieve several in-memory logic operations with the functions of NAND, NOR, and XOR in the MESO-based memory array. With the aid of some transistor-based switches, these logic operations can be achieved between any two MESOs in the array. Furthermore, the computing process of a 1-bit full adder (FA) is achieved in AIMCU-MESO by the in-memory logic manner to demonstrate the ability of logic cascading. The result of SPICE simulation for achieving the 1-bit FA using MESO devices is demonstrated, and the performances are compared with other designs of spintronics-based devices. Compared to multilevel voltage-controlled spin-orbit torque–based magnetic memory, the proposed design demonstrates 71.4% and 49.2% reductions in terms of storage delay and logic delay, respectively.

REFERENCES

  1. [1] An Qi, Su Li, Klein Jacques-Olivier, Beux Sebastien Le, O’Connor Ian, and Zhao Weisheng. 2015. Full-adder circuit design based on all-spin logic device. In Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH’15). 163168. Google ScholarGoogle ScholarCross RefCross Ref
  2. [2] Angizi Shaahin, Sun Jiao, Zhang Wei, and Fan Deliang. 2019. GraphS: A graph processing accelerator leveraging SOT-MRAM. In Proceedings of the Design, Automation Test in Europe Conference Exhibition (DATE’19). 378383. Google ScholarGoogle ScholarCross RefCross Ref
  3. [3] Barla Prashanth, Joshi Vinod, and Bhat Somashekara. 2021. Spintronic devices: A promising alternative to CMOS devices. J. Comput. Electr. 20 (2021), 805–837. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. [4] Behin-Aein Behtash, Datta Deepanjan, Salahuddin Sayeef, and Datta Supriyo. 2010. Proposal for an all-spin logic device with built-in memory. Nat. Nanotechnol. 5 (022010), 266–70. Google ScholarGoogle ScholarCross RefCross Ref
  5. [5] Bläsing Robin, Khan Asif Ali, Filippou Panagiotis Ch., Garg Chirag, Hameed Fazal, Castrillon Jeronimo, and Parkin Stuart S. P.. 2020. Magnetic racetrack memory: From physics to the cusp of applications within a decade. Proc. IEEE 108, 8 (2020), 13031321. Google ScholarGoogle ScholarCross RefCross Ref
  6. [6] Chi Ping, Li Shuangchen, Xu Cong, Zhang Tao, Zhao Jishen, Liu Yongpan, Wang Yu, and Xie Yuan. 2016. PRIME: A novel processing-in-memory architecture for neural network computation in ReRAM-based main memory. In Proceedings of the ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA’16). 2739. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. [7] Deng Erya, Zhang Yue, Klein Jacques-Olivier, Ravelsona Dafine, Chappert Claude, and ZHAO Weisheng. 2013. Low power magnetic full-adder based on spin transfer torque MRAM. IEEE Trans. Magn. 49 (092013), 49824987. Google ScholarGoogle ScholarCross RefCross Ref
  8. [8] Finocchio Giovanni, Ventra Massimiliano Di, Camsari Kerem, Everschor-Sitte Karin, Amiri Pedram, and Zeng Zhongming. 2021. The promise of spintronics for unconventional computing. J. Magn. Magn. Mater. 521 (032021), 167506. Google ScholarGoogle ScholarCross RefCross Ref
  9. [9] Guo Zongxia, Yin Jialiang, Bai Yue, Zhu Daoqian, Shi Kewen, Wang Gefei, Cao Kaihua, and Zhao Weisheng. 2021. Spintronics for energy- efficient computing: An overview and outlook. Proc. IEEE 109, 8 (2021), 13981417. Google ScholarGoogle ScholarCross RefCross Ref
  10. [10] Huang Chenglong, Xu Nuo, Qiu Keni, Zhu Yujie, Ma Desheng, and Fang Liang. 2021. Efficient and optimized methods for alleviating the impacts of IR-Drop and fault in RRAM based neural computing systems. IEEE J. Electr. Dev. Soc. 9 (2021), 645652. Google ScholarGoogle ScholarCross RefCross Ref
  11. [11] Indiveri Giacomo, Linares-Barranco Bernabé, Hamilton Tara, Schaik André van, Etienne-Cummings Ralph, et al. 2011. Neuromorphic silicon neuron circuits. Front. Neurosci. 5 (2011), 73. Google ScholarGoogle ScholarCross RefCross Ref
  12. [12] Jabeur Kotb, Pendina Gregory Di, Prenat Guillaume, Buda-Prejbeanu Liliana Daniela, and Dieny Bernard. 2014. Compact modeling of a magnetic tunnel junction based on spin orbit torque. IEEE Trans. Magn. 50, 7 (2014), 18. Google ScholarGoogle ScholarCross RefCross Ref
  13. [13] Jain Shubham, Ranjan Ashish, Roy Kaushik, and Raghunathan Anand. 2017. Computing in memory with spin-transfer torque magnetic RAM. In IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 26, 3 (2018), 470-483. DOI:Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. [14] Jain Shubham, Ranjan Ashish, Roy Kaushik, and Raghunathan Anand. 2018. Computing in memory with spin-transfer torque magnetic RAM. IEEE Trans. VLSI Syst. 26, 3 (2018), 470483. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. [15] S. Jain, S. Sapatnekar, J.-P. Wang, K. Roy, and A. Raghunathan. 2018. Computing-in-memory with spintronics. In Design, Automation & Test in Europe Conference & Exhibition (DATE). 1640–1645. DOI:Google ScholarGoogle ScholarCross RefCross Ref
  16. [16] Kim Yusung, Fong Xuanyao, Kwon Kon-Woo, Chen Mei-Chin, and Roy Kaushik. 2015. Multilevel spin-orbit torque MRAMs. IEEE Trans. Electr. Dev. 62, 2 (2015), 561568. Google ScholarGoogle ScholarCross RefCross Ref
  17. [17] Lesne Edouard, Fu Yu, Oyarzún Simón, Rojas-Sánchez Juan Carlos, Vaz Diogo, Naganuma H., Sicoli Giuseppe, Attané J.-P, Jamet Matthieu, Jacquet E., George J.-M, Barthélémy Agnes, Jaffrés Henri, Fert A., Bibes Manuel, and Vila L.. 2016. Highly efficient and tunable spin-to-charge conversion through Rashba coupling at oxide interfaces. Nat. Mater. 15 (082016). Google ScholarGoogle ScholarCross RefCross Ref
  18. [18] Li Jing, Ndai Patrick, Goel Ashish, Salahuddin Sayeef, and Roy Kaushik. 2010. Design paradigm for robust spin-torque transfer magnetic RAM (STT MRAM) from circuit/architecture perspective. IEEE Trans. VLSI Syst. 18, 12 (2010), 17101723. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. [19] C.-C. Lin et al. 2019. Experimental demonstration of integrated magneto-electric and spin-orbit building blocks implementing energy-efficient logic. IEEE International Electron Devices Meeting (IEDM). Vol. 2019, 37.3.1–37.3.4. DOI:Google ScholarGoogle ScholarCross RefCross Ref
  20. [20] Liu Huichu, Manipatruni Sasikanth, Morris Daniel, Vaidyanathan Kaushik, Nikonov Dmitri, Karnik Tanay, and Young Ian. 2019. Synchronous circuit design with beyond-CMOS magnetoelectric spin-orbit devices toward 100-mV logic. IEEE J. Explor. Solid-State Comput. Dev. Circ. 5 (122019), 7474. Google ScholarGoogle ScholarCross RefCross Ref
  21. [21] Luo Zhaochu, Hrabec Aleš, Dao Trong, Sala Giacomo, Finizio Simone, Feng Junxiao, Mayr Sina, Raabe Jörg, Gambardella Pietro, and Heyderman Laura. 2020. Current-driven magnetic domain-wall logic. Nature 579 (032020), 214218. Google ScholarGoogle ScholarCross RefCross Ref
  22. [22] Manipatruni Sasikanth, Nikonov Dmitri, Lin Chia-Ching, Gosavi Tanay, Liu Huichu, Prasad Bhagwati, Huang Yen Lin, Bonturim Everton, Ramesh Ramamoorthy, and Young Ian. 2019. Scalable energy-efficient magnetoelectric spin–orbit logic. Nature 565 (012019), 3542. Google ScholarGoogle ScholarCross RefCross Ref
  23. [23] Manipatruni Sasikanth, Nikonov Dmitri, Lin Chia-Ching, Prasad Bhagwati, Huang Yen, Damodaran Anoop, Chen Zuhuang, Ramesh Ramamoorthy, and Young Ian. 2018. Voltage control of uni-directional anisotropy in ferromagnet-multiferroic system. Sci. Adv. 4 (012018). Google ScholarGoogle ScholarCross RefCross Ref
  24. [24] Matsukura C. Zhang, S. Fukami, H. Sato, F., and Ohno H. 2015. Spin-orbit torque induced magnetization switching in nano-scale Ta/CoFeB/MgO. Appl. Phys. Lett. 107, 1 (72015). Google ScholarGoogle ScholarCross RefCross Ref
  25. [25] Peng Shouzhong, Zhu Daoqian, Zhou Jiaqi, Zhang Boyu, Annie Cao, Wang Mengxing, Cai Wenlong, Cao Kaihua, and ZHAO Weisheng. 2019. Modulation of heavy metal/ferromagnetic metal interface for High\( ^{} \) spintronic devices. Adv. Electr. Mater. 5 (062019). Google ScholarGoogle ScholarCross RefCross Ref
  26. [26] Rajaei Ramin, Asgari Bahar, Tabandeh Mahmoud, and Fazeli Mahdi. 2016. Single event multiple upset-tolerant SRAM cell designs for nano-scale CMOS technology. Turk. J. Electr. Eng. Comput. Sci. 25 (032016). Google ScholarGoogle ScholarCross RefCross Ref
  27. [27] Ralph D. C.. 2016. Comment on “Spin-orbit logic with magnetoelectric nodes: A scalable charge mediated nonvolatile spintronic logic.” arXiv:1512.05428). Retrieved from https://arxiv.org/abs/1512.05428.Google ScholarGoogle Scholar
  28. [28] Shreya Sonal, Jain Alkesh, and Kaushik Brajesh Kumar. 2020. Computing-in-memory architecture using energy-efficient multilevel voltage-controlled spin-orbit torque Device. IEEE Trans. Electr. Dev. 67, 5 (2020), 19721979. Google ScholarGoogle ScholarCross RefCross Ref
  29. [29] Tankwal, Piyush Nehra, Vikas Prajapati, Sanjay Kaushik, and Brajesh Kumar. 2019. Performance analysis of differential spin hall effect (DSHE)-MRAM-based logic gates. Circuit World. 45 (2019), 300–310. DOI:Google ScholarGoogle ScholarCross RefCross Ref
  30. [30] Brink Arno van den, Cosemans Stefan, Cornelissen S., Manfrini Mauricio, Vaysset A., Roy W., Min Tai, Swagten H., and Koopmans B.. 2013. Spin-Hall-assisted magnetic random access memory. Appl. Phys. Lett. 104 (122013). Google ScholarGoogle ScholarCross RefCross Ref
  31. [31] Wang Zhaohao, Zhou Haochang, Wang Mengxing, Cai Wenlong, Zhu Daoqian, Klein Jacques-Olivier, and Zhao Weisheng. 2019. Proposal of toggle spin torques magnetic RAM for ultrafast computing. IEEE Electr. Dev. Lett. 40, 5 (2019), 726729. Google ScholarGoogle ScholarCross RefCross Ref
  32. [32] Xu Nuo, Fang Liang, Kim Kyung Min, and Hwang Cheol. 2019. Time-efficient stateful dual-bit-memristor logic. Phys. Status Solidi Rapid Res. Lett. 13 (022019), 1900033. Google ScholarGoogle ScholarCross RefCross Ref
  33. [33] Xu Nuo, Park Tae, Shao Xinglong, Yoon Kyung, Park Taegyun, Fang Liang, Kim Kyung Min, and Hwang Cheol. 2019. A stateful logic family based on a new logic primitive circuit composed of two antiparallel bipolar memristors. Adv. Intell. Syst. 2 (112019). Google ScholarGoogle ScholarCross RefCross Ref
  34. [34] Xu Nuo, Park Taegyun, Yoon Kyung Jean, and Hwang Cheol Seong. 2021. In-memory stateful logic computing using memristors: gate, calculation, and application. Phys. Status Solidi C Rapid Res. Lett. 15, 9 (2021), 2100208. Google ScholarGoogle ScholarCross RefCross Ref
  35. [35] Xu Nuo, Yoon Kyung, Kim Kyung Min, Fang Liang, and Hwang Cheol. 2019. Fully functional logic-in-memory operations based on a reconfigurable finite-state machine using a single memristor. Adv. Electr. Mater. 5 (012019), 1800775. Google ScholarGoogle ScholarCross RefCross Ref
  36. [36] S. Yu, X. Sun, X. Peng, and S. Huang. 2020. Compute-in-memory With Emerging Nonvolatile-memories: Challenges and Prospects. In IEEE Custom Integrated Circuits Conference (CICC). 1–4. DOI:Google ScholarGoogle ScholarCross RefCross Ref
  37. [37] Zabihi Masoud, Zhao Zhengyang, Mahendra D. C., Chowdhury Zamshed I., Resch Salonik, Peterson Thomas, Karpuzcu Ulya R., Wang Jian-Ping, and Sapatnekar Sachin S.. 2019. Using spin-hall MTJs to build an energy-efficient in-memory computation platform. In Proceedings of the 20th International Symposium on Quality Electronic Design (ISQED’19). 5257. Google ScholarGoogle ScholarCross RefCross Ref
  38. [38] Zeng Junwei, Yi Pinyun, Chen Boya, Huang Chenglong, Qi Xuelei, Qiu Shan, and Fang Liang. 2021. MESO-ADC: The ADC design using MESO device. Microelectr. J. 116 (082021), 105235. Google ScholarGoogle ScholarDigital LibraryDigital Library
  39. [39] Zhang Yue, Zhao Weisheng, Lakys Yahya, Klein Jacques-Olivier, Kim Joo-Von, Ramasitera Dafiné Ravelosona, and Chappert Claude. 2012. Compact modeling of perpendicular-anisotropy CoFeB/MgO magnetic tunnel junctions. IEEE Trans. Electr. Dev. 59 (032012). Google ScholarGoogle ScholarCross RefCross Ref
  40. [40] Zhang Zhizhong, Zheng Zhenyi, Zhang Yue, Sun Jinyi, Lin Kelian, Zhang Kun, Feng Xueqiang, Chen Lei, Wang Jinkai, Wang Guanda, Du Yinchang, Bournel Arnaud, Amiri Pedram, and hao. 2020. 3D ferrimagnetic device for multi-bit storage and efficient in-memory computing. IEEE Electr. Dev. Lett. PP (122020), 11. Google ScholarGoogle ScholarCross RefCross Ref
  41. [41] Zhao Weisheng, Zhao Xiaoxuan, Zhang Boyu, Cao Kaihua, Wang Lezhi, Kang Wang, Shi Qian, Wang Mengxing, Zhang Yu, Wang You, Peng Shouzhong, Klein Jacques-Olivier, Naviner Lirida Alves De Barros, and Ravelosona Dafine. 2016. Failure analysis in magnetic tunnel junction nanopillar with interfacial perpendicular magnetic anisotropy. Materials 9, 1 (2016). Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. AIMCU-MESO: An In-Memory Computing Unit Constructed by MESO Device

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in

          Full Access

          • Published in

            cover image ACM Transactions on Design Automation of Electronic Systems
            ACM Transactions on Design Automation of Electronic Systems  Volume 28, Issue 1
            January 2023
            321 pages
            ISSN:1084-4309
            EISSN:1557-7309
            DOI:10.1145/3573313
            Issue’s Table of Contents

            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 10 December 2022
            • Online AM: 26 May 2022
            • Accepted: 23 May 2022
            • Revised: 13 May 2022
            • Received: 15 November 2021
            Published in todaes Volume 28, Issue 1

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • research-article
            • Refereed
          • Article Metrics

            • Downloads (Last 12 months)168
            • Downloads (Last 6 weeks)16

            Other Metrics

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader

          Full Text

          View this article in Full Text.

          View Full Text

          HTML Format

          View this article in HTML Format .

          View HTML Format