skip to main content
10.1145/2897937.2897992acmotherconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

AVFSM: a framework for identifying and mitigating vulnerabilities in FSMs

Published:05 June 2016Publication History

ABSTRACT

A finite state machine (FSM) is responsible for controlling the overall functionality of most digital systems and, therefore, the security of the whole system can be compromised if there are vulnerabilities in the FSM. These vulnerabilities can be created by improper designs or by the synthesis tool which introduces additional don't-care states and transitions during the optimization and synthesis process. An attacker can utilize these vulnerabilities to perform fault injection attacks or insert malicious hardware modifications (Trojan) to gain unauthorized access to some specific states. To our knowledge, no systematic approaches have been proposed to analyze these vulnerabilities in FSM. In this paper, we develop a framework named Analyzing Vulnerabilities in FSM (AVFSM) which extracts the state transition graph (including the don't-care states and transitions) from a gate-level netlist using a novel Automatic Test Pattern Generation (ATPG) based approach and quantifies the vulnerabilities of the design to fault injection and hardware Trojan insertion. We demonstrate the applicability of the AVFSM framework by analyzing the vulnerabilities in the FSM of AES and RSA encryption module. We also propose a low-cost mitigation technique to make FSM more secure against these attacks.

References

  1. P. C. Kocher, "Timing attacks on implementations of Diffie-Hellman, RSA, DSS, and other systems" in Lecture Notes in Computer Science, 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. P. C. Kocher, et al., "Differential Power Analysis" in CRYPTO, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. D. Hely et al., "Scan design and secure chip {secure IC testing}," in in Proc. 10th IEEE IOLTS, Jul. 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. E. Biham and A. Shamir, "Differential fault analysis of secret key cryptosystems," CRYPTO, 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. R. Karri et al., "Trustworthy Hardware: Identifying and Classifying Hardware Trojans", Computer, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. B. Sunar et al., "Sequential circuit design for embedded cryptographic applications resilient to adversarial faults," IEEE Transactions on Computers, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Z. Wang et al., "Robust FSMs for cryptographic devices resilient to strong fault injection attacks," in On-Line Testing Symposium (IOLTS), 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. C. Dunbar and G. Qu., "Designing Trusted Embedded Systems from Finite State Machines," in ACM Trans. Embed. Comput. Syst., 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. H. Salmani and M. Tehranipoor, "Analyzing circuit vulnerability to hardware Trojan insertion at the behavioral level," in Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), 2013.Google ScholarGoogle Scholar
  10. T. Schneider and A. Moradi, "Leakage Assessment Methodology - a clear roadmap for side-channel evaluations," in CHES 2015.Google ScholarGoogle Scholar
  11. B. Yuce et al., "TVVF: Estimating the vulnerability of hardware cryptosystems against timing violation attacks," in Hardware Oriented Security and Trust (HOST), 2015.Google ScholarGoogle Scholar
  12. http://opencores.org/.Google ScholarGoogle Scholar
  13. L. Yuan et al., "An fsm reengineering approach to sequential circuit synthesis by state splitting," Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Y. Shi et al., "A highly efficient method for extracting fsms from flattened gate-level netlist," in Circuits and Systems (ISCAS), 2010.Google ScholarGoogle Scholar
  15. L. Zussa et al., "Investigation of timing constraints violation as a fault injection means", in DCIS 2012.Google ScholarGoogle Scholar
  1. AVFSM: a framework for identifying and mitigating vulnerabilities in FSMs

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Other conferences
        DAC '16: Proceedings of the 53rd Annual Design Automation Conference
        June 2016
        1048 pages
        ISBN:9781450342360
        DOI:10.1145/2897937

        Copyright © 2016 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 5 June 2016

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate1,770of5,499submissions,32%

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader