skip to main content
10.1145/285930.286004acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
Article
Free Access

Alternative implementations of two-level adaptive branch prediction

Authors Info & Claims
Published:01 August 1998Publication History
First page image

References

  1. 1.T-Y Yeh and Y.N. Putt, "Two-Level Adaptive Branch Prediction", Technical Report CSE-TR-I17-91, Com. puter Science and Engineering Division, Department o} EECS, The University of Michigan, (Nov. 1991).Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. 2.T-Y Yeh and Y.N. Part, "Two-Level Adaptive Branch Prediction", The g$th A CM/IEEE International Symposium and Workshop on Microarchitecture, (Nov. 1991), pp. 51-61. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. 3.M. Butler, T-Y Yeh, Y.N. Patt, M. Alsup, {{. Scales, and M. Shebanow, "Instruction Level Parallelism is Greater Than Two", Proceedings of the 18th International Sympossum on Computer Architecture, (May. 1991), pp. 276-286. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. 4.D. R. Kaefi and P. G. Emma, "Branch History Table Prediction of Moving Target Branches Due to Subroutine Returns" , Proceedings o} the 18th international Symposium on Computer Architecture, (May 1991), pp. 34--42. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. 5.Motorola Inc., "M88100 User's ManuaJ', Phoenix, Arizona, (March 13, 1989).Google ScholarGoogle Scholar
  6. 6.W.W. Hwu, T.M.Conte, and P.P.Chang, "Comparing Software and Hardware Schemes for Reducing the Cost of Branches", Proceedings of the 16th International Symposium on Computer Architecture, (May 1989). Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. 7.N.P. Jouppi and D. Wall, "Available Instruction-Level Parallelism for Superscalar and Superpipelined Machines.", Proceedings of the Third International Con. ference on Architectural Support for Programming Languages and Operating Systems, (April 1989), pp. 272- 282. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. 8.D. J. Lilja, "Reducing the Branch Penalty in Pipelined Processors ", IEEE Computer, (July 1988), pp.47-55. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. 9.W.W. Hwu and Y.N. Part, "Checkpoint Repair for Out-of-order Execution Machines", IEEE Transactions on Computers, (December 1987), pp.1496-1514. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. 10.P. G. Emma and E. S. Davidson, "Characterization of Branch and Data Dependencies in Programs for Evaluating Pipeline Performance" , IEEE Transactions on Computers, (July 1987), pp.859-876. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. 11.J. A. DeRma and H. M. Levy, "An Evaluation of Branch Architectures ", Proceedings o/the 1~th Inter. national Symposium on Computer Architecture, (June 1987), pp.10-16. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. 12.D.R. Ditzel and H.R. McLellaaa, "Branch Folding in the CRISP Microprocessor: Reducing Branch Delay to Zeron, Proceedings of the 14th International Symposium on Computer Architecture, (June 1987), pp.2-9. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. 13.S. McFarling and J. Hennessy, "Reducing the Coat of Branches", Proceedings of the 13th international Symposium on Computer Architecture, (1986), pp.396-403. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. 14.J. Lee and A. J. Smith, "Branch Prediction Strategies and Branch Target Buffer Design", IEEE Computer, (January 1984), pp.6-22.Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. 15.T.R. Gross and J. Hennessy, "Optimizing Delayed Branches", Proceedings of the 15th Annual Workshop on Microprogramming, (Oct. 1982), pp.114-120. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. 16.D.A. Patterson and C.H. Sequin, "RISC-I: A Reduced Instruction Set VLSI Computer", Proceedings of the 8th International Symposium on Computer Architecture, (May. 1981), pp.443-458. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. 17.J.E. Smith, "A Study of Branch Prediction Strategies", Proceedings of the 8th International Symposium on Computer Architecture, (May. 1981), pp.135-148. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. 18.T. C. Chen, "Parallelism, Pipelining and Computer Efficiency", Computer Design, Vol. 10, No. 1, (Jan. 1971), pp.69-74.Google ScholarGoogle Scholar

Index Terms

  1. Alternative implementations of two-level adaptive branch prediction

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in
          • Published in

            cover image ACM Conferences
            ISCA '98: 25 years of the international symposia on Computer architecture (selected papers)
            August 1998
            546 pages
            ISBN:1581130589
            DOI:10.1145/285930

            Copyright © 1998 ACM

            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 1 August 1998

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • Article

            Acceptance Rates

            Overall Acceptance Rate543of3,203submissions,17%

            Upcoming Conference

            ISCA '24

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader