skip to main content
10.1145/1594233.1594258acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
research-article

Dynamic thermal management using thin-film thermoelectric cooling

Published:19 August 2009Publication History

ABSTRACT

Multi-core architectures require Dynamic Thermal Management mechanisms (DTM) to handle (1) multiple hotspots and (2) global chip heating effect while finding the best trade-off between performance and thermal control. In that scenario Thin-Film Thermoelectric Cooling devices can be used to mitigate both effects since they provide on-die localized cooling with a dynamic and heterogeneous effect. This work proposes controlling TFTECs from the microarchitecture for an enhanced Dynamic Thermal Management in multi-core architectures. We show that by using our TFTEC-based proposals the performance is within 8% of that of a thermally-unconstrained processor.

References

  1. J. Bierschenk and D. Johnson. Extending the Limits of Air Cooling with Thermoelectrically Enhanced Heat Sinks. In ITHERM-2004, Dallas, TX, USA, June 2004.Google ScholarGoogle ScholarCross RefCross Ref
  2. David Brooks, Pradip Bose, Stanley Schuster, Hans M. Jacobson, Prabhakar Kudva, Alper Buyuktosunoglu, John-David Wellman, Victor V. Zyuban, Manish Gupta, and Peter W. Cook. Power-Aware Microarchitecture: Design and Modeling Challenges for Next-Generation Microprocessors. IEEE Micro, 20(6):26--44, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. David Brooks and Margaret Martonosi. Dynamic Thermal Management for High-Performance Microprocessors. In HPCA-7, pages 171--182, Nuevo Leone, Mexico, January 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. David Brooks, Vivek Tiwari, and Margaret Martonosi. Wattch: A Framework for Architectural-Level Power Analysis and Optimizations. In ISCA-27, pages 83--94, Vancouver, British Columbia, Canada, June 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Pedro Chaparro, José González, Grigorios Magklis, Qiong Cai, and Antonio González. Understanding the Thermal Implications of Multi-Core Architectures. IEEE Transactions on Parallel and Distributed Systems, 18(8):1055--1065, August 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. David. S. Chau, Greg Chrysler, Sridhar Narasimhan, D. Ganapathy, and K. Lofgreen. Feasibility Study of Using Solid State Refrigeration Technologies for Electronic Cooling. In ITHERM 06 {12}, pages 464--469.Google ScholarGoogle Scholar
  7. Theofanis Constantinou, Yiannakis Sazeides, Pierre Michaud, Damien Fetis, and André Seznec. Performance Implications of Single Thread Migration on a Chip Multi-Core. SIGARCH Computer Architecture News, 33(4):80--91, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. James Donald and Margaret Martonosi. Techniques for Multicore Thermal Management: Classification and New Exploration. In ISCA-33, pages 78--88, Boston, MA, USA, June 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Mohamed Gomaa, Michael D. Powell, and T. N. Vijaykumar. Heat-and-Run: Leveraging SMT and CMP to Manage Power Density Through the Operating System. In ASPLOS-11, pages 260--270, Boston, MA, USA, October 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Seongmoo Heo, Kenneth Barr, and Krste Asanović. Reducing Power Density through Activity Migration. In ISLPED-03, pages 217--222, Seoul, Korea, August 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Wei Huang, Eric Humenay, Kevin Skadron, and Mircea R. Stan. The Need for a Full-Chip and Package Thermal Model for Thermally Optimized IC Designs. In ISLPED-05, pages 245--250, San Diego, CA, USA, August 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. ITHERM-2006, San Diego, CA, USA, May--June 2006.Google ScholarGoogle Scholar
  13. David Koester, Rama Venkatasubramanian, Bob Conner, and G. Jeffrey Snyder. Embedded Thermoelectric Coolers for Semiconductor Hot Spot Cooling. In ITHERM 06 {12}, pages 491--496.Google ScholarGoogle Scholar
  14. Ravi S. Prasher, Je-Young Chang, Ioan Sauciuc, Sridhar Narasimhan, David Chau, Greg Chrysler, Alan Myers, Suzana Prstic, and Chuan Hu. Nano and Micro Technology-Based Next Next-Generation Package-Level Cooling Solutions. Intel Technology Journal, 9(4):14, November 2005.Google ScholarGoogle ScholarCross RefCross Ref
  15. Premkishore Shivakumar and Norman P. Jouppi. CACTI 3.0: An Integrated Cache Timing, Power, and Area Model. Technical Report WRL Research Report 2001/2, COMPAQ WRL, 2001.Google ScholarGoogle Scholar
  16. Kevin Skadron, Mircea R. Stan, Wei Huang, Sivakumar Velusamy, Karthik Sankaranarayanan, and David Tarjan. Temperature-Aware Microarchitecture. In ISCA-30, pages 2--13, San Diego, CA, USA, June 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Jan W. Vandersande and Jean-Pierre Fleurial. Thermal Management of Power Electronics Using Thermoelectric Coolers. In Proceedings 15th International Conference on Thermoelectrics, pages 252--255, Pasadena, CA, USA, March 1996.Google ScholarGoogle Scholar
  18. Rama Venkatasubramanian, Edward Siivola, Thomas Colpitts, and Brooks O'Quinn. Thin-Film Thermoelectric Devices With High Room-Temperature Figures of Merit. Nature, 413:597--602, October 2001.Google ScholarGoogle ScholarCross RefCross Ref
  19. Yan Zhang, Dharmesh Parikh, Karthik Sankaranarayanan, Kevin Skadron, and Mircea Stan. Hotleakage: A Temperature-Aware Model of Subthreshold and Gate Leakage for Architects. Technical Report Univ. of Virginia Department of Computer Science, Technical Report CS-2003-05, Univ. of Virginia, 2003.Google ScholarGoogle Scholar

Index Terms

  1. Dynamic thermal management using thin-film thermoelectric cooling

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      ISLPED '09: Proceedings of the 2009 ACM/IEEE international symposium on Low power electronics and design
      August 2009
      452 pages
      ISBN:9781605586847
      DOI:10.1145/1594233

      Copyright © 2009 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 19 August 2009

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      ISLPED '09 Paper Acceptance Rate72of208submissions,35%Overall Acceptance Rate398of1,159submissions,34%

      Upcoming Conference

      ISLPED '24

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader