skip to main content
10.1145/2593069.2593122acmotherconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Design Methodologies for 3D Mixed Signal Integrated Circuits: a Practical 12-bit SAR ADC Design Case

Authors Info & Claims
Published:01 June 2014Publication History

ABSTRACT

Three-dimensional (3D) integration technology has been proposed as a promising technology to provide small footprint, reduced wirelength, and the capability of heterogeneous integration. In particular, 3D IC is a good candidate to address the design issues in conventional analog/digital mixed-signal IC designs. In this work, we focus on modeling and analyzing the impacts of through silicon vias (TSVs) on mixed-signal ICs. Based on the analysis, a set of design methodologies for 3D mixed-signal ICs are proposed. The design methodologies are verified with a case study, in which a 12-bit successive approximation register analog-to-digital converter (SAR ADC) is re-designed by partitioning it into three stacked layers for 3D integration. The experimental results show that, compared to the traditional 2D counterpart, our 3D SAR ADC with optimized TSV placement can achieve significant area and power reduction, and performance improvement. Specifically, due to the isolation of substrate noise disturbance in our 3D design, the signal-to-noise-plus-distortion ratio (SNDR) is improved from 68.74 dB to 74.12 dB.

References

  1. Y. Xie, G. Loh, and et al., "Design space exploration for 3D architectures," JETC, vol. 2, no. 2, pp. 65--103, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. T. Zhang, Y. Zhan, and et al., "Temperature-aware routing in 3D ICs," in ASPDAC, 2006, pp. 6--11. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. P. Falkenstern, Y. Xie, and et al., "Three-dimensional integrated circuits (3D IC) floorplan and power/ground network co-synthesis," in ASPDAC, 2010, pp. 169--174. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. T. Zhang, K. Wang, Y. Feng, X. Song, L. Duan, Y. Xie, X. Cheng, and Y. Lin, "A customized design of DRAM controller for on-chip 3D DRAM stacking," in CICC, 2010, pp. 1--4.Google ScholarGoogle Scholar
  5. J. Kim, C. Oh, and et al., "A 1.2V 12.8GB/s 2Gb mobile wide-I/O DRAM with 4× 128 I/Os using TSV-based stacking," in ISSCC, 2011, pp. 496--498.Google ScholarGoogle Scholar
  6. V. Suntharalingam, R. Berger, and et al., "Megapixel CMOS image sensor fabricated in three-dimensional integrated circuit technology," in ISSCC, 2005, pp. 356--357.Google ScholarGoogle Scholar
  7. J. Burns, L. McIlrath, and et al., "Three-dimensional integrated circuits for low-power, high-bandwidth systems on a chip," in ISSCC, 2001, pp. 268--269.Google ScholarGoogle Scholar
  8. Y. Lee, G. Kim, and et al., "A modular 1mm3 die-stacked sensing platform with optical communication and multi-modal energy harvesting," in ISSCC, 2012, pp. 402--404.Google ScholarGoogle Scholar
  9. J. Kim, J. Cho, and J. Kim, "TSV modeling and noise coupling in 3D IC," in ESTC, 2010, pp. 1--6.Google ScholarGoogle Scholar
  10. K. Yoon, G. Kim, and et al., "Modeling and analysis of coupling between TSVs, metal, and RDL interconnects in TSV-based 3D IC with silicon interposer," in EPTC, 2009, pp. 702--706.Google ScholarGoogle Scholar
  11. C. Liu, T. Song, and et al., "Full-chip TSV-to-TSV coupling analysis and optimization in 3D IC," in DAC, 2011, pp. 783--788. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. J. Cho, J. Shim, and et al., "Active circuit to through silicon via (TSV) noise coupling," in EPEPS, 2009, pp. 97--100.Google ScholarGoogle Scholar
  13. A. Agnes, E. Bonizzoni, and et al., "A 9.4-ENOB 1v 3.8 μW 100ks/s SAR ADC with time-domain comparator," in ISSCC, 2008, pp. 246--610.Google ScholarGoogle Scholar
  14. N. Verma and A. P. Chandrakasan, "An ultra low energy 12-bit rate-resolution scalable SAR ADC for wireless sensor nodes," JSSC, vol. 42, no. 6, pp. 1196--1205, 2007.Google ScholarGoogle Scholar
  15. H. Fan, X. Han, and et al., "A 12-bit self-calibrating SAR ADC achieving a nyquist 90.4-dB SFDR," Analog Integrated Circuits and Signal Processing, vol. 74, no. 1, pp. 239--254, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. {Online}. Available: http://web.mit.edU/8.02t/www/materials/StudyGuide/guide05.pdfGoogle ScholarGoogle Scholar
  17. W. Liu, H. Du, Y. Wang, and et al., "TSV-aware topology generation for 3D clock tree synthesis," in ISQED, 2013, pp. 300--307.Google ScholarGoogle Scholar

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in
  • Published in

    cover image ACM Other conferences
    DAC '14: Proceedings of the 51st Annual Design Automation Conference
    June 2014
    1249 pages
    ISBN:9781450327305
    DOI:10.1145/2593069

    Copyright © 2014 ACM

    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 1 June 2014

    Permissions

    Request permissions about this article.

    Request Permissions

    Check for updates

    Qualifiers

    • research-article
    • Research
    • Refereed limited

    Acceptance Rates

    Overall Acceptance Rate1,770of5,499submissions,32%

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader