skip to main content
10.1145/775832.775879acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article

Distributed sleep transistor network for power reduction

Published:02 June 2003Publication History

ABSTRACT

Sleep transistors are effective to reduce dynamic and leakage power. The cluster-based design was proposed to reduce the sleep transistor area by clustering gates to minimize the simultaneous switching current per cluster and then inserting a sleep transistor per cluster. In the paper, we propose a novel distributed sleep transistor network (DSTN), and show that DSTN is intrinsically better than the cluster-based design in terms of the sleep transistor area and circuit performance. We reveal properties of optimal DSTN designs, and then develop an efficient algorithm for gate level DSTN synthesis. The algorithm obtains DSTN designs with up to 70.7% sleep transistor area reduction compared to cluster-based designs. Furthermore, we present custom layout designs to verify the area reduction by DSTN.

References

  1. J. Kao, S. Narendra, and A. Chandrakasan, "Subthreshold leakage modeling and reduction techniques," in Proc. Int. Conf. on Computer Aided Design, pp. 141--148, Nov. 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. S.Mutah and et~al., "1-v power supply high-speed digital circuit technology with multithreshold-voltage cmos," IEEE Journal of Solid-State Circuits, August 1995.Google ScholarGoogle Scholar
  3. J. Kao, S. Narendra, and A. Chandrakasan, "Mtcmos hierarchical sizing based on mutual exclusive discharge patterns," in DAC, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. M. Anis, S. Areibi, and M. Elmasry, "Dynamic and leakage power reduction in MTCMOS circuits using an automated efficient gate clustering technique," in DAC, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. C. Long and L. He, "Distributed sleep transistor network for power reduction," tech. rep., UCLA EE Dept., http://eda.ee.ucla.edu/publications.html, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. T. Sakurai and A. Newton, "Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas," IEEE Journal of Solid-State Circuits, vol. 25, pp. 584--594, April 1990.Google ScholarGoogle ScholarCross RefCross Ref
  7. Y. M. Jiang, K. T. Cheng, and A. C. Deng, "Estimation of maximum power supply noise for deep sub-micron designs," in IEEE Proc. Of Sym. On Low Power Electronics and Design, pp. 233--238, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. X. D. Tan and C. J. Shi, "Reliability-constrained area optimization of VLSI power/ground networks via sequence of linear programmings," in Proc. Design Automation Conf, pp. 78--83, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Y. M. Jiang, K. T. Cheng, and A. Krstic, "Estimation of maximum power and instantaneous current using a genetic algorithm," in Proc. IEEE Custom Integrated Circuits Conf., pp. 135--138, May 1997.Google ScholarGoogle ScholarCross RefCross Ref
  10. A. Kristic and K. T. Cheng, "Vector generation for maximum instantaneous current through supply lines for cmos circuits," in Proc. Design Automation Conf, pp. 383--388, June 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. E. M. Sentovich, K. J. Singh, L. Lavagno, and etc., "Sis: a system for sequential circuit synthesis," Memorandum NO. UCB/ERL M92/41, May 1992.Google ScholarGoogle Scholar
  12. W. Liao and L. He, "Leakage power modeling and reduction with data retention," in Proc. Int. Conf. on Computer Aided Design, pp. 714--719, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Distributed sleep transistor network for power reduction

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        DAC '03: Proceedings of the 40th annual Design Automation Conference
        June 2003
        1014 pages
        ISBN:1581136889
        DOI:10.1145/775832

        Copyright © 2003 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 2 June 2003

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • Article

        Acceptance Rates

        DAC '03 Paper Acceptance Rate152of628submissions,24%Overall Acceptance Rate1,770of5,499submissions,32%

        Upcoming Conference

        DAC '24
        61st ACM/IEEE Design Automation Conference
        June 23 - 27, 2024
        San Francisco , CA , USA

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader