skip to main content
10.1145/1013235.1013287acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
Article

A new algorithm for improved VDD assignment in low power dual VDD systems

Published:09 August 2004Publication History

ABSTRACT

We present the first in-depth study of the two existing algorithms, namely, Clustered Voltage Scaling (CVS) and Extended Clustered Voltage Scaling (ECVS), used for assigning the voltage supply to gates in integrated circuits having dual power supplies. We present a comparison of the achievable power savings using these algorithms on various benchmark circuits and first point out that ECVS does provide appreciably larger power improvements compared to CVS. We then provide a new algorithm based on ECVS that further improves the power savings by efficient assignment of the power supplies to the gates. Our new algorithm provides up to 66% power reduction and improves the power savings by up to 28% and 13% with respect to CVS and ECVS respectively. Furthermore, since level conversion is an essential component of dual power supply systems we also present the first circuit-specific sensitivity study of achievable power savings to the energy and delay penalties imposed by level conversion.

References

  1. K. Usami and M. Horowitz, "Clustered voltage scaling technique for low-power design," Proc. ISLPED, pp. 3--8, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. C. Chen, A. Srivastava and M. Sarrafzadeh, "On gate level power optimization using dual-supply voltages," IEEE Trans. on VLSI Systems, vol. 9, pp. 616--629, Oct. 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. K. Usami, M. Igarashi, F. Minami, M. Ishikawa, M. Ichida and K. Nogami, "Automated low-power technique exploiting multiple supply voltages applied to a media processor," IEEE JSSC, pp. 463--472, Mar. 1998.Google ScholarGoogle Scholar
  4. M.R. Bai and D. Sylvester, "Analysis and design of level converting flip-flops for dual-Vdd/Vth integrated circuits," Proc. International Symposium on System-on-Chip, pp. 151--154, 2003.Google ScholarGoogle Scholar
  5. F. Ishihara, F. Sheikh and B. Nikolic, "Level conversion for dual supply systems," Proc. ISLPED, pp. 164--167, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. N. Magen, A. Kolodny, U. Weiser and N. Shamir, "Interconnect power dissipation in a microprocessor," International Workshop on System-Level Interconnect Prediction, pp. 7--13, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. M. Hamada, Y. Ootaguro and T. Kuroda, "Utilizing surplus timing for power reduction," Proc. CICC, pp. 89--92, 2001.Google ScholarGoogle ScholarCross RefCross Ref
  8. M. Takahashi, M. Hamada, T. Nishikawa, H. Arakida, T. Fujita, F. Hatori, et al., "A 60-mW MPEG4 video codec using clustered voltage scaling with variable supply-voltage scheme," IEEE JSSC, pp. 1772--1780, Nov. 1998.Google ScholarGoogle Scholar
  9. T. Kuroda and M. Hamada, "Low-power CMOS digital design with dual embedded adaptive power supplies," IEEE JSSC, pp. 652--655, Apr. 2000.Google ScholarGoogle Scholar
  10. A. Srivastava and D. Sylvester, "Minimizing total power by simultaneous Vdd/Vth assignment," Proc. ASPDAC, pp. 400--403, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. D. Sylvester and K. Keutzer, "System-level performance modeling with BACPAC -- Berkeley advanced chip performance calculator," International Workshop on System-Level Interconnect Prediction (workshop notes), pp. 109--114, 1999.Google ScholarGoogle Scholar
  12. F. Brglez and H. Fujiwara, "A neural netlist of 10 combinational benchmark circuits and a target translator in Fortran," Proc. ISCAS, pp. 695--698, May 1985.Google ScholarGoogle Scholar
  13. J. Fishburn and A. Dunlop, "TILOS: a posynomial programming approach to transistor sizing," Proc. ICCAD, pp. 326--328, 1985.Google ScholarGoogle Scholar
  14. A. Srivastava, D. Sylvester and D. Blaauw, "Power minimization using simultaneous gate sizing, dual-Vdd, and dual-Vth assignment," Proc. DAC, in press, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. S. H. Kulkarni and D. Sylvester, "High performance level conversion for dual VDD design," IEEE Trans. On VLSI Systems, in press, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. A new algorithm for improved VDD assignment in low power dual VDD systems

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      ISLPED '04: Proceedings of the 2004 international symposium on Low power electronics and design
      August 2004
      414 pages
      ISBN:1581139292
      DOI:10.1145/1013235

      Copyright © 2004 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 9 August 2004

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • Article

      Acceptance Rates

      Overall Acceptance Rate398of1,159submissions,34%

      Upcoming Conference

      ISLPED '24

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader