skip to main content
research-article

Price theory based power management for heterogeneous multi-cores

Authors Info & Claims
Published:24 February 2014Publication History
Skip Abstract Section

Abstract

Heterogeneous multi-cores that integrate cores with different power performance characteristics are promising alternatives to homogeneous systems in energy- and thermally constrained environments. However, the heterogeneity imposes significant challenges to power-aware scheduling. We present a price theory-based dynamic power management framework for heterogeneous multi-cores that co-ordinates various energy savings opportunities, such as dynamic voltage/frequency scaling, load balancing, and task migration in tandem, to achieve the best power-performance characteristics. Unlike existing centralized power management frameworks, ours is distributed and hence scalable with minimal runtime overhead. We design and implement the framework within Linux operating system on ARM big.LITTLE heterogeneous multi-core platform. Experimentalevaluation confirms the advantages of our approach compared to the state-of-the-art techniques for power management in heterogeneous multi-cores.

References

  1. SPEC CPU Benchmarks. http://www.spec.org/benchmarks.html.Google ScholarGoogle Scholar
  2. ARM Ltd., 2011. http://www.arm.com/products/tools/development-boards/versatile-express/index.php.Google ScholarGoogle Scholar
  3. Linaro Ubuntu release for Vexpress, November 2012. http://releases.linaro.org/13.02/ubuntu/vexpress/.Google ScholarGoogle Scholar
  4. M. A. Al Faruque, J. Jahn, T. Ebi, and J. Henkel. Runtime thermal management using software agents for multi-and many-core architectures. Design & Test of Computers, IEEE, 27(6):58--68, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. S. Balakrishnan, R. Rajwar, M. Upton, and K. Lai. The impact of performance asymmetry in emerging multicore architectures. In ACM SIGARCH Computer Architecture News, volume 33, pages 506--517. IEEE Computer Society, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. C. Bienia, S. Kumar, J. P. Singh, and K. Li. The PARSEC benchmark suite: characterization and architectural implications. In Proceedings of the 17th international conference on Parallel architectures and compilation techniques, pages 72--81. ACM, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. J. S. Chase, D. C. Anderson, P. N. Thakar, A. M. Vahdat, and R. P. Doyle. Managing energy and server resources in hosting centers. In ACM SIGOPS Operating Systems Review, volume 35, pages 103--116. ACM, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. J. Chen and L. K. John. Efficient program scheduling for heterogeneous multi-core processors. In Proceedings of the 46th Annual Design Automation Conference, pages 927--930. ACM, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. R. Cochran, C. Hankendi, A. K. Coskun, and S. Reda. Pack & Cap: adaptive DVFS and thread packing under power caps. In Proceedings of the 44th annual IEEE/ACM international symposium on microarchitecture, pages 175--185. ACM, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. T. Ebi, M. Faruque, and J. Henkel. Tape: Thermal-aware agent-based power economy multi/many-core architectures. In Computer-Aided Design-Digest of Technical Papers, 2009. ICCAD 2009. IEEE/ACM International Conference on, pages 302--309. IEEE, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. T. Ebi, J. Jahn, and J. Henkel. Agent-based thermal management for multi-core architectures. In Organic ComputingA Paradigm Shift for Complex Systems, pages 587--588. Springer, 2011.Google ScholarGoogle Scholar
  12. T. Ebi, D. Kramer, W. Karl, and J. Henkel. Economic learning for thermal-aware power budgeting in many-core architectures. In Hardware/Software Codesign and System Synthesis (CODES+ ISSS), 2011 Proceedings of the 9th International Conference on, pages 189--196. IEEE, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. M. Friedman. Quantity theory of money. J. Eatwell et al, pages 1--40, 1989.Google ScholarGoogle Scholar
  14. Y. Ge, Q. Qiu, and Q. Wu. A multi-agent framework for thermal aware task migration in many-core systems. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, 20(10):1758--1771, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. P. Greenhalgh. Big.LITTLE processing with ARM Cortex-A15 & Cortex-A7: Improving energy efficiency in highperformance mobile platforms. white paper, ARM September, 2011.Google ScholarGoogle Scholar
  16. M. Guevara, B. Lubin, and B. C. Lee. Navigating heterogeneous processors with market mechanisms. In HPCA, pages 95--106, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. H. Hoffmann, J. Eastep, M. D. Santambrogio, J. E. Miller, and A. Agarwal. Application heartbeats for software performance and health. In ACM Sigplan Notices, volume 45, pages 347--348. ACM, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. C. Isci, A. Buyuktosunoglu, C.-Y. Cher, P. Bose, and M. Martonosi. An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget. In Microarchitecture, 2006. MICRO-39. 39th Annual IEEE/ACM International Symposium on, pages 347--358. IEEE, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. D. Koufaty, D. Reddy, and S. Hahn. Bias scheduling in heterogeneous multi-core architectures. In Proceedings of the 5th European conference on Computer systems, pages 125--138. ACM, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. R. Kumar, K. I. Farkas, N. P. Jouppi, P. Ranganathan, and D. M. Tullsen. Single-ISA heterogeneous multi-core architectures: The potential for processor power reduction. In MICRO, pages 81--92, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. S. Landsburg. Price theory and applications. South-Western Pub, 2010.Google ScholarGoogle Scholar
  22. B. Lubin, J. O. Kephart, R. Das, and D. C. Parkes. Expressive power-based resource allocation for data centers. In Proc. of the 21st International Joint Conference on Artificial Intelligence, pages 1451--1456, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. K. Ma, X. Li, M. Chen, and X. Wang. Scalable power control for many-core architectures running multi-threaded applications. In ACM SIGARCH Computer Architecture News, volume 39, pages 449--460. ACM, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. T. Mudge. Power: A first class design constraint for future architectures. In High Performance Computing--HiPC 2000, pages 215--224. Springer, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. T. S. Muthukaruppan, M. Pricopi, V. Venkataramani, T. Mitra, and S. Vishin. Hierarchical power management for asymmetric multi-core in dark silicon era. In Proceedings of the 50th Annual Design Automation Conference, page 174. ACM, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. NVidia Corporation. The Benefits of Multiple CPU Cores in Mobile Devices., 2011.Google ScholarGoogle Scholar
  27. M. Pricopi, T. S. Muthukaruppan, V. Venkataramani, T. Mitra, and S. Vishin. Power-performance modeling on asymmetric multi-cores. In Compilers, Architecture and Synthesis for Embedded Systems (CASES), 2013 International Conference on, pages 1--10. IEEE, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. K. K. Rangan, G.-Y.Wei, and D. Brooks. Thread motion: finegrained power management for multi-core systems. In ACM SIGARCH Computer Architecture News, volume 37, pages 302--313. ACM, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. T. S. Rosing, K. Mihic, and G. De Micheli. Power and reliability management of socs. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, 15(4):391--403, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. A. Roy, S. M. Rumble, R. Stutsman, P. Levis, D. Mazières, and N. Zeldovich. Energy management in mobile devices with the cinder operating system. In Proceedings of the sixth conference on Computer systems, pages 139--152. ACM, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. A. Schranzhofer, J.-J. Chen, and L. Thiele. Dynamic poweraware mapping of applications onto heterogeneous MPSoC platforms. Industrial Informatics, IEEE Transactions on, 6 (4):692--707, 2010.Google ScholarGoogle Scholar
  32. P. Turner. Sched: Entity Load-tracking Re-work., 2011. https://lkml.org/lkml/2012/2/1/763.Google ScholarGoogle Scholar
  33. K. Van Craeynest, A. Jaleel, L. Eeckhout, P. Narvaez, and J. Emer. Scheduling heterogeneous multi-cores through performance impact estimation (PIE). In Proceedings of the 39th International Symposium on Computer Architecture, pages 213--224. IEEE Press, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. S. K. Venkata, I. Ahn, D. Jeon, A. Gupta, C. Louie, S. Garcia, S. Belongie, and M. B. Taylor. SD-VBS: The San Diego vision benchmark suite. In Workload Characterization, 2009. IISWC 2009. IEEE International Symposium on, pages 55--64. IEEE, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. X. Wang, K. Ma, and Y. Wang. Adaptive power control with online model estimation for chip multiprocessors. Parallel and Distributed Systems, IEEE Transactions on, 22(10):1681--1696, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. J. A. Winter, D. H. Albonesi, and C. A. Shoemaker. Scalable thread scheduling and global power management for heterogeneous many-core architectures. In Proceedings of the 19th international conference on Parallel architectures and compilation techniques, pages 29--40. ACM, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Price theory based power management for heterogeneous multi-cores

    Recommendations

    Reviews

    Cristiana Bolchini

    Runtime resource management is a hot topic given the increasing interest in, and adoption of, system architectures constituted by a number of computing resources. Examples of such resources include multicore and many-core systems used in scenarios where the workload cannot always be characterized in advance, meaning that design-time approaches only offer a suboptimal solution. In this scenario, a few solutions have been proposed in the literature (the authors mention some of them). These solutions are generally aimed at dynamically optimizing resource allocation to the applications or tasks to be executed, with respect to a selected figure of merit (such as power consumption). The aspect that attracted my attention is the use of a strategy taken from a different context, price theory, to drive the optimization policy. In fact, within the performance/power optimization scenario, control theory or artificial intelligence (AI)-driven solutions are typically adopted, while only a few works exploit economic theory or welfare economics to tackle the problem, as the authors discuss toward the end of the paper in the related work section. Starting from the idea of borrowing the resource management strategy from economic theory, the authors present the many details of their proposal, which is aimed at optimizing power consumption for heterogeneous multicore systems and constituted by computing resources characterized by different performance and power profiles. To be more specific, the authors select the big.LITTLE multicore produced by ARM, comprising two different types of cores. The paper initially introduces all of the models (such as architecture, application, and power), setting the background for the introduction of the proposed framework devoted to power management, which is presented in section 3. This section is the core of the work, and the authors introduce all of the elements of the framework, including the dynamics and mechanisms at the basis of the management strategy. To help the reader follow the discussion, a running example is adopted, allowing for the exemplification of complex chip dynamics. As anticipated, an overview of the existing approaches aimed at optimizing power consumption is presented, leading to a discussion of experimental results (section 5) and a comparison of the solution to the one available on the adopted big.LITTLE architecture. More precisely, the section presents the adopted setup for the experimental campaigns (such as the selected workload) and introduces “the HL scheduler released by Linaro in Linux kernel release 3.8.” The analysis is carried out by comparing performance and power consumption for the proposed and alternative solutions. The results show interesting savings without severely affecting performance. The approach to scalability is also discussed since the complexity of the management strategy (at both design time and runtime) may constitute a limitation as the size of the workload and/or the number of resources increases. Conference proceedings papers usually have a limited number of available pages; this is not true for the 19th International Conference on Architectural Support for Programming Languages and Operating Systems. As a consequence, the authors were able to describe in depth all aspects of their proposal in depth, allowing the reader to get a precise idea of the solution, which is well supported by the reported experimental campaigns. Thinking about an extension of the work for a journal publication, it would be nice to see a comparison with other solutions (such as control theory-based ones) coming from the research community (instead of the “normal” HL scheduler). Online Computing Reviews Service

    Access critical reviews of Computing literature here

    Become a reviewer for Computing Reviews.

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Published in

      cover image ACM SIGPLAN Notices
      ACM SIGPLAN Notices  Volume 49, Issue 4
      ASPLOS '14
      April 2014
      729 pages
      ISSN:0362-1340
      EISSN:1558-1160
      DOI:10.1145/2644865
      Issue’s Table of Contents
      • cover image ACM Conferences
        ASPLOS '14: Proceedings of the 19th international conference on Architectural support for programming languages and operating systems
        February 2014
        780 pages
        ISBN:9781450323055
        DOI:10.1145/2541940

      Copyright © 2014 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 24 February 2014

      Check for updates

      Qualifiers

      • research-article

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader