Abstract
Heterogeneous multi-cores that integrate cores with different power performance characteristics are promising alternatives to homogeneous systems in energy- and thermally constrained environments. However, the heterogeneity imposes significant challenges to power-aware scheduling. We present a price theory-based dynamic power management framework for heterogeneous multi-cores that co-ordinates various energy savings opportunities, such as dynamic voltage/frequency scaling, load balancing, and task migration in tandem, to achieve the best power-performance characteristics. Unlike existing centralized power management frameworks, ours is distributed and hence scalable with minimal runtime overhead. We design and implement the framework within Linux operating system on ARM big.LITTLE heterogeneous multi-core platform. Experimentalevaluation confirms the advantages of our approach compared to the state-of-the-art techniques for power management in heterogeneous multi-cores.
- SPEC CPU Benchmarks. http://www.spec.org/benchmarks.html.Google Scholar
- ARM Ltd., 2011. http://www.arm.com/products/tools/development-boards/versatile-express/index.php.Google Scholar
- Linaro Ubuntu release for Vexpress, November 2012. http://releases.linaro.org/13.02/ubuntu/vexpress/.Google Scholar
- M. A. Al Faruque, J. Jahn, T. Ebi, and J. Henkel. Runtime thermal management using software agents for multi-and many-core architectures. Design & Test of Computers, IEEE, 27(6):58--68, 2010. Google ScholarDigital Library
- S. Balakrishnan, R. Rajwar, M. Upton, and K. Lai. The impact of performance asymmetry in emerging multicore architectures. In ACM SIGARCH Computer Architecture News, volume 33, pages 506--517. IEEE Computer Society, 2005. Google ScholarDigital Library
- C. Bienia, S. Kumar, J. P. Singh, and K. Li. The PARSEC benchmark suite: characterization and architectural implications. In Proceedings of the 17th international conference on Parallel architectures and compilation techniques, pages 72--81. ACM, 2008. Google ScholarDigital Library
- J. S. Chase, D. C. Anderson, P. N. Thakar, A. M. Vahdat, and R. P. Doyle. Managing energy and server resources in hosting centers. In ACM SIGOPS Operating Systems Review, volume 35, pages 103--116. ACM, 2001. Google ScholarDigital Library
- J. Chen and L. K. John. Efficient program scheduling for heterogeneous multi-core processors. In Proceedings of the 46th Annual Design Automation Conference, pages 927--930. ACM, 2009. Google ScholarDigital Library
- R. Cochran, C. Hankendi, A. K. Coskun, and S. Reda. Pack & Cap: adaptive DVFS and thread packing under power caps. In Proceedings of the 44th annual IEEE/ACM international symposium on microarchitecture, pages 175--185. ACM, 2011. Google ScholarDigital Library
- T. Ebi, M. Faruque, and J. Henkel. Tape: Thermal-aware agent-based power economy multi/many-core architectures. In Computer-Aided Design-Digest of Technical Papers, 2009. ICCAD 2009. IEEE/ACM International Conference on, pages 302--309. IEEE, 2009. Google ScholarDigital Library
- T. Ebi, J. Jahn, and J. Henkel. Agent-based thermal management for multi-core architectures. In Organic ComputingA Paradigm Shift for Complex Systems, pages 587--588. Springer, 2011.Google Scholar
- T. Ebi, D. Kramer, W. Karl, and J. Henkel. Economic learning for thermal-aware power budgeting in many-core architectures. In Hardware/Software Codesign and System Synthesis (CODES+ ISSS), 2011 Proceedings of the 9th International Conference on, pages 189--196. IEEE, 2011. Google ScholarDigital Library
- M. Friedman. Quantity theory of money. J. Eatwell et al, pages 1--40, 1989.Google Scholar
- Y. Ge, Q. Qiu, and Q. Wu. A multi-agent framework for thermal aware task migration in many-core systems. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, 20(10):1758--1771, 2012. Google ScholarDigital Library
- P. Greenhalgh. Big.LITTLE processing with ARM Cortex-A15 & Cortex-A7: Improving energy efficiency in highperformance mobile platforms. white paper, ARM September, 2011.Google Scholar
- M. Guevara, B. Lubin, and B. C. Lee. Navigating heterogeneous processors with market mechanisms. In HPCA, pages 95--106, 2013. Google ScholarDigital Library
- H. Hoffmann, J. Eastep, M. D. Santambrogio, J. E. Miller, and A. Agarwal. Application heartbeats for software performance and health. In ACM Sigplan Notices, volume 45, pages 347--348. ACM, 2010. Google ScholarDigital Library
- C. Isci, A. Buyuktosunoglu, C.-Y. Cher, P. Bose, and M. Martonosi. An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget. In Microarchitecture, 2006. MICRO-39. 39th Annual IEEE/ACM International Symposium on, pages 347--358. IEEE, 2006. Google ScholarDigital Library
- D. Koufaty, D. Reddy, and S. Hahn. Bias scheduling in heterogeneous multi-core architectures. In Proceedings of the 5th European conference on Computer systems, pages 125--138. ACM, 2010. Google ScholarDigital Library
- R. Kumar, K. I. Farkas, N. P. Jouppi, P. Ranganathan, and D. M. Tullsen. Single-ISA heterogeneous multi-core architectures: The potential for processor power reduction. In MICRO, pages 81--92, 2003. Google ScholarDigital Library
- S. Landsburg. Price theory and applications. South-Western Pub, 2010.Google Scholar
- B. Lubin, J. O. Kephart, R. Das, and D. C. Parkes. Expressive power-based resource allocation for data centers. In Proc. of the 21st International Joint Conference on Artificial Intelligence, pages 1451--1456, 2009. Google ScholarDigital Library
- K. Ma, X. Li, M. Chen, and X. Wang. Scalable power control for many-core architectures running multi-threaded applications. In ACM SIGARCH Computer Architecture News, volume 39, pages 449--460. ACM, 2011. Google ScholarDigital Library
- T. Mudge. Power: A first class design constraint for future architectures. In High Performance Computing--HiPC 2000, pages 215--224. Springer, 2000. Google ScholarDigital Library
- T. S. Muthukaruppan, M. Pricopi, V. Venkataramani, T. Mitra, and S. Vishin. Hierarchical power management for asymmetric multi-core in dark silicon era. In Proceedings of the 50th Annual Design Automation Conference, page 174. ACM, 2013. Google ScholarDigital Library
- NVidia Corporation. The Benefits of Multiple CPU Cores in Mobile Devices., 2011.Google Scholar
- M. Pricopi, T. S. Muthukaruppan, V. Venkataramani, T. Mitra, and S. Vishin. Power-performance modeling on asymmetric multi-cores. In Compilers, Architecture and Synthesis for Embedded Systems (CASES), 2013 International Conference on, pages 1--10. IEEE, 2013. Google ScholarDigital Library
- K. K. Rangan, G.-Y.Wei, and D. Brooks. Thread motion: finegrained power management for multi-core systems. In ACM SIGARCH Computer Architecture News, volume 37, pages 302--313. ACM, 2009. Google ScholarDigital Library
- T. S. Rosing, K. Mihic, and G. De Micheli. Power and reliability management of socs. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, 15(4):391--403, 2007. Google ScholarDigital Library
- A. Roy, S. M. Rumble, R. Stutsman, P. Levis, D. Mazières, and N. Zeldovich. Energy management in mobile devices with the cinder operating system. In Proceedings of the sixth conference on Computer systems, pages 139--152. ACM, 2011. Google ScholarDigital Library
- A. Schranzhofer, J.-J. Chen, and L. Thiele. Dynamic poweraware mapping of applications onto heterogeneous MPSoC platforms. Industrial Informatics, IEEE Transactions on, 6 (4):692--707, 2010.Google Scholar
- P. Turner. Sched: Entity Load-tracking Re-work., 2011. https://lkml.org/lkml/2012/2/1/763.Google Scholar
- K. Van Craeynest, A. Jaleel, L. Eeckhout, P. Narvaez, and J. Emer. Scheduling heterogeneous multi-cores through performance impact estimation (PIE). In Proceedings of the 39th International Symposium on Computer Architecture, pages 213--224. IEEE Press, 2012. Google ScholarDigital Library
- S. K. Venkata, I. Ahn, D. Jeon, A. Gupta, C. Louie, S. Garcia, S. Belongie, and M. B. Taylor. SD-VBS: The San Diego vision benchmark suite. In Workload Characterization, 2009. IISWC 2009. IEEE International Symposium on, pages 55--64. IEEE, 2009. Google ScholarDigital Library
- X. Wang, K. Ma, and Y. Wang. Adaptive power control with online model estimation for chip multiprocessors. Parallel and Distributed Systems, IEEE Transactions on, 22(10):1681--1696, 2011. Google ScholarDigital Library
- J. A. Winter, D. H. Albonesi, and C. A. Shoemaker. Scalable thread scheduling and global power management for heterogeneous many-core architectures. In Proceedings of the 19th international conference on Parallel architectures and compilation techniques, pages 29--40. ACM, 2010. Google ScholarDigital Library
Index Terms
- Price theory based power management for heterogeneous multi-cores
Recommendations
Hierarchical power management for asymmetric multi-core in dark silicon era
DAC '13: Proceedings of the 50th Annual Design Automation ConferenceAsymmetric multi-core architectures integrating cores with diverse power-performance characteristics is emerging as a promising alternative in the dark silicon era where only a fraction of the cores on chip can be powered on due to thermal limits. We ...
Price theory based power management for heterogeneous multi-cores
ASPLOS '14Heterogeneous multi-cores that integrate cores with different power performance characteristics are promising alternatives to homogeneous systems in energy- and thermally constrained environments. However, the heterogeneity imposes significant ...
Price theory based power management for heterogeneous multi-cores
ASPLOS '14: Proceedings of the 19th international conference on Architectural support for programming languages and operating systemsHeterogeneous multi-cores that integrate cores with different power performance characteristics are promising alternatives to homogeneous systems in energy- and thermally constrained environments. However, the heterogeneity imposes significant ...
Comments