skip to main content
research-article

NBTI-aware circuit node criticality computation

Published:08 October 2013Publication History
Skip Abstract Section

Abstract

For sub-65nm technology nodes, Negative Bias Temperature Instability (NBTI) has become a primary limiting factor of circuit lifetime. During the past few years, researchers have spent considerable effort on accurate modeling and characterization of circuit delay degradation caused by NBTI at different design levels. The search for techniques and methodologies which can aid in effectively minimizing the NBTI effect on circuit delay is still underway. In this work, we present the usage of node criticality computation to drive NBTI-aware timing analysis and optimization. Circuits that have undergone this optimization flow show strong resistance to NBTI delay degradation. For the first time, this work proposes a node criticality computation algorithm under an NBTI-aware timing analysis and optimization framework. Our work provides answers to the following yet unaddressed questions: (a) what is the definition of node criticality in a circuit under the NBTI effect? (b) how do we identify the critical nodes that, once protected, will be immune to NBTI timing degradation? and (c) what are the NBTI effect attenuation approaches? Experimental results indicate that by protecting the critical nodes found by our proposed methodology, circuit delay degradation can be reduced by up to 50%. Combined with peak temperature reduction, the delay degradation can be be further improved.

References

  1. ABC-UC Berkley. 2013. A system for sequential synthesis and verification. http://www.eecs.berkeley. edu/∼alanmi/abc/.Google ScholarGoogle Scholar
  2. Agarwal, A., Blaauw, D., and Zolotov, V. 2003. Statistical timing analysis for intra-die process variations with spatial correlations. In Proceedings of the IEEE International Conference on Computer Aided Design (ICCAD). 900--907. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Alam, M. A. 2003. A critical examination of the mechanics of dynamic NBTI for PMOSFETs. In Proceedings of the International Electronic Devices Meeting. 345--348.Google ScholarGoogle ScholarCross RefCross Ref
  4. Alam, M. A. and Mahapatra, S. 2005. A comprehensive model of PMOS NBTI degradation. Microelectron. Reliabil. 45, 1, 71--81.Google ScholarGoogle ScholarCross RefCross Ref
  5. Allec, N., Hassan, Z., Shang, L., Dick, R. P., and Yang, R. 2008. ThermalScope: Multi-scale thermal analysis for nanometer-scale integrated circuits. In Proceedings of the IEEE International Conference on Computer Aided Design (ICCAD). 603--610. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Bhardwaj, S., Wang, W., Vattikonda, R., Cao, Y., and Vrudhula, S. 2006a. Differentiating the roles of IR measurement and simulation for power and temperature-aware design. In Proceedings of the Custom Integrated Circuits Conference. 189--192.Google ScholarGoogle Scholar
  7. Bhardwaj, S., Wang, W., Vattikonda, R., Cao, Y., and Vrudhula, S. 2006b. Predictive modeling of the NBTI effect for reliable design. In Proceedings of the Custom Integrated Circuits Conference. 189--192.Google ScholarGoogle Scholar
  8. Blaauw, D., Zolotov, V., Sundareswaran, S., Oh, C., and Panda, R. 2000. Slope propagation in static timing analysis. In Proceedings of the IEEE International Conference on Computer Aided Design (ICCAD). 338--343. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Borkar, S. 2006. Electronics beyond nano-scale CMOS. In Proceedings of the 43rd ACM Design Automation Conference (DAC). 807--808. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Chakravarthi, S., Krishnan, A. T., Reddy, V., Machala, C. F., and Krishnan, S. 2004. A comprehensive framework for predictive modeling of negative bias temperature instability. In Proceedings of the International Reliability Physics Symposium. 273--282.Google ScholarGoogle Scholar
  11. Chang, H. and Sapatnekar, S. 2003. Statistical timing analysis considering spatial correlations using a single pert-like traversal. http://www.ece.umn.edu/∼sachin/conf/iccad03hc.pdf. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Chen, G., Chuah, K. Y., Li, M. F., Chan, D. S., Ang, C. H., Zheng, J. Z., Jin, Y., and Kwong, D. L. 2003. Dynamic NBTI of PMOS transistors and its impact on device lifetime. In Proceedings of the International Reliability Physics Symposium. 196--202.Google ScholarGoogle Scholar
  13. Chen, H. C. and Du, D. H.-C. 1993. Path sensitization in critical path problem. In Proceedings of the IEEE International Conference on Computer Aided Design (Digest of Technical Papers).Google ScholarGoogle Scholar
  14. Choi, B. and Walker, D. M. H. 2000. Timing analysis of combinational circuits including capacitive coupling and statistical process variation. In Proceedings of the Symposium on VLSI Testing. 49--54. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Devadas, S., Jyu, H., Keutzer, K., and Malik, S. 1992. Statistical timing analysis of combinational circuits. In Proceedings of the International Conference on Computer Design (ICCD). 38--43. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Hitchcock, R. B. Sr. 1982. Timing verification and the timing analysis program. In Proceedings of the IEEE Conference on Design Automation. 594--604. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Jha, N. K. and Rao, V. R. 2005. A new oxide trap-assisted NBTI degradation model. IEEE Electron. Dev. Lett. 26, 9, 687--689.Google ScholarGoogle ScholarCross RefCross Ref
  18. Jouppi, N. P. 1983. Timing analysis for nmos vlsi. In Proceedings of the 20th ACM Design Automation Conference (DAC). 411--418. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Kimizuka, N., Yamamoto, T., Mogami, T., Yamaguchi, K., Imai, K., and Horiuchi, T. 1999. The impact of bias temperature instability for direct-tunneling ultra-thin gate oxide on MOSFET scaling. In Proceedings of the VLSI Technical Symposium. 73--74.Google ScholarGoogle Scholar
  20. Krishnan, A. T., Chancellor, C., Chakravarthi, S., Nicollian, P. E., Reddy, V., and Varghese, A. 2005. Material dependence of hydrogen diffusion: Implication for NBTI degradation. In Proceedings of the International Electronic Devices Meeting. 688--691.Google ScholarGoogle Scholar
  21. Kukimoto, Y. and Brayton, R. K. 1998. Hierarchical functional timing analysis. In Proceedings of the ACM Design Automation Conference (DAC). 580--585. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Kumar, S. V., Kim, C. H., and Sapatnekar, S. S. 2006. An analytical model for negative bias temperature instability. In Proceedings of the IEEE/ACM International Conference on Computer Aided Design (ICCAD). 493--496. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Le, J., Li, X., and Pileggi, L. T. 2004. STAC: Statistical timing analysis with correlation. In Proceedings of the ACM Design Automation Conference (DAC). 343--348. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Li, C. and Messerschmitt, D. G. 1992. Statistical analysis of timing rules for high-speed synchronous interconnects. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS). 37--40.Google ScholarGoogle Scholar
  25. Li, S., Ahn, J. H., Strong, R. D., Brockman, J. B., Tullsen, D. M., and Jouppi, N. P. 2009. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures. ACM Trans. Archit. Code Optim. 10, 1, 469--480.Google ScholarGoogle Scholar
  26. Li, X., Le, J., Celik, M., and Pileggi, L. T. 2005. Defining statistical sensitivity for timing optimization of logic circuits with large-scale process and environmental variations. In Proceedings of the IEEE International Conference on Computer Aided Design (ICCAD). 843--850. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Lin, R. and Wu, M. C. 1998. A new statistical approach to timing analysis of vlsi circuits. In Proceedings of the 25th International Conference on VLSI Design (VLSID). 507--513. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Liou, J. J., Cheng, K. T., Kundu, S., and Krstic, A. 2001. Fast statistical timing analysis by probabilistic event propagation. In Proceedings of the ACM Design Automation Conference (DAC). 661--666. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. Nassif, S. R. 2001. Modeling and analysis of manufacturing variations. In Proceedings of the Custom Integrated Circuits Conference. 223--228.Google ScholarGoogle ScholarCross RefCross Ref
  30. Opensparc T1. 2013. http://www.oracle.com/technetwork/systems/opensparc/opensparc-t1-page-1444609. html.Google ScholarGoogle Scholar
  31. Orshansky, M. and Keutzer, K. 2002. A general probabilistic framework for worst case timing analysis. In Proceedings of the ACM Design Automation Conference (DAC). 556--561. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. Paul, B. C., Kang, K., Kufluoglu, H., Alam, M. A., and Roy, K. 2005. Impact of NBTI on the temporal performance degradation of digital circuits. IEEE Electron. Dev. Lett. 26, 8, 560--562.Google ScholarGoogle ScholarCross RefCross Ref
  33. Paul, B. C., Kang, K., Kufluoglu, H., Alam, M. A., and Roy, K. 2006. Temporal performance degradation under NBTI: Estimation and design for improved reliability of nanoscale circuits. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE). 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. Puchner, J. and Hinh, L. 2004. NBTI reliability analysis for a 90nm CMOS technology. In Proceedings of the European Solid-State Device Research Conference. 257--260.Google ScholarGoogle Scholar
  35. Sapatnekar, S. 2004. Timing. Kluwer. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. Schroder, D. K. and Babcock, J. A. 2003. Negative bias temperature instability: Road to cross in deep submicron silicon semiconductor manufacturing. J. Appl. Phys. 94, 1, 1--18.Google ScholarGoogle ScholarCross RefCross Ref
  37. Tsukiyama, S., Tanaka, M., and Fukui, M. 2001. A statistical static timing analysis considering correlations between delays. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC). 353--358. Google ScholarGoogle ScholarDigital LibraryDigital Library
  38. Vattikonda, R., Wang, W., and Cao, Y. 2006. Modeling and minimization of PMOS NBTI effect for robust nanometer design. In Proceedings of the 43rd Annual ACM Design Automation Conference (DAC). 1047--1052. Google ScholarGoogle ScholarDigital LibraryDigital Library
  39. Visweswariah, C. and Conn, A. R. 1999. Formulation of static circuit optimization with reduced size, degeneracy and redundancy by timing graph manipulation. In Proceedings of the IEEE/ACM International Conference on Computer Aided Design (Digest of Technical Papers). 244--251. Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. Visweswariah, C., Ravindran, K., Kalafala, K., Walker, S., and Narayan, S. 2004. First-order incremental block-based statistical timing analysis. In Proceedings of the 41st Annual ACM Design Automation Conference (DAC). 331--336. Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. Wang, W., Reddy, V., Krishnan, A. T., Vattikonda, R., Krishnan, S., and Cao, Y. 2007a. An integrated modeling paradigm of circuit reliability for 65nm CMOS technology. In Proceedings of the Custom Integrated Circuits Conference. 511--514.Google ScholarGoogle Scholar
  42. Wang, W., Yang, S., Bhardwaj, S., Vattikonda, R., Vrudhula, S., Liu, F., and Cao, Y. 2007b. The impact of NBTI on the performance of combinational and sequential circuits. In Proceedings of the 44th Annual ACM Design Automation Conference (DAC). 364--369. Google ScholarGoogle ScholarDigital LibraryDigital Library
  43. Xiong, J., Zolotov, V., Venkateswaran, N., and Visweswariah, C. 2006. Criticality computation in parameterized statistical timing. In Proceedings of the IEEE Design Automation Conference (DAC). 63--68. Google ScholarGoogle ScholarDigital LibraryDigital Library
  44. Yen, S. H. C., Du, D. H. C., and Ghanta, S. 1989. Efficient algorithms for extracting the k most critical paths in timing analysis. In Proceedings of the 26th ACM/IEEE Design Automation Conference (DAC). 649--654. Google ScholarGoogle ScholarDigital LibraryDigital Library
  45. Zhan, Y., Strojwas, A. J., Sharma, M., and Newmark, D. 2004. Statistical critical path analysis considering correlations. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 699--704. Google ScholarGoogle ScholarDigital LibraryDigital Library
  46. Zhao, W. and Cao, Y. 2006. New generation of predictive technology model for sub-45nm early design exploration. IEEE Trans. Electron. Dev. 53, 11, 2816--2823.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. NBTI-aware circuit node criticality computation

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in

        Full Access

        • Published in

          cover image ACM Journal on Emerging Technologies in Computing Systems
          ACM Journal on Emerging Technologies in Computing Systems  Volume 9, Issue 3
          September 2013
          196 pages
          ISSN:1550-4832
          EISSN:1550-4840
          DOI:10.1145/2533711
          Issue’s Table of Contents

          Copyright © 2013 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 8 October 2013
          • Accepted: 1 April 2012
          • Revised: 1 November 2011
          • Received: 1 September 2011
          Published in jetc Volume 9, Issue 3

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article
          • Research
          • Refereed

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader