skip to main content
research-article

Accurate and fast system-level power modeling: An XScale-based case study

Authors Info & Claims
Published:08 May 2008Publication History
Skip Abstract Section

Abstract

Accurate and fast system modeling is central to the rapid design space exploration needed for embedded-system design. With fast, complex SoCs playing a central role in such systems, system designers have come to require MIPS-range simulation speeds and near-cycle accuracy. The sophisticated simulation frameworks that have been developed for high-speed system performance modeling do not address power consumption, although it is a key design constraint. In this paper, we define a simulation-based methodology for extending system performance modeling frameworks to also include power modeling. We demonstrate the use of this methodology with a case study of a real, complex embedded system, comprising the Intel XScale embedded microprocessor, its WMMX SIMD co processor, L1 caches, SDRAM, and the on-board address and data buses. We describe detailed power models for each of these components and validate them against physical measurements from hardware, demonstrating that such frameworks enable designers to model both power and performance at high speeds without sacrificing accuracy. Our results indicate that the power estimates obtained are accurate within 5% of physical measurements from hardware, while simulation speeds consistently exceed a million instructions per second (MIPS).

References

  1. Austin, T., Larson, E., and Ernst, D. 2002. SimpleScalar: An infrastructure for computer system modeling. IEEE Comput. 35, 2 (Feb.), 59--67. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Bansal, N., Lahiri, K., Raghunathan, A., and Chakradhar, S. T. 2005. Power monitors: a framework for system-level power estimation using heterogeneous power models. In 18th International Conference on VLSI Design, Kolkota, India. 579--585. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Beltrame, G., Palermo, G., Sciuto, D., and Silvano, C. 2004. Plug-in of power models in the StepNP exploration platform: Analysis of power/performance trade-offs. In International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES), Washington, D.C. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Benini, L., Hodgson, R., and Siegel, P. 1998. System-level power estimation and optimization. In International Symposium on Low-Power Electronics and Design (ISLPED). Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Bergamaschi, R. A., Shin, Y., Dhanwada, N., Bhattacharya, S., Dougherty, W. E., Nair, I., Darringer, J., and Paliwal, S. 2003. SEAS: A system for early analysis of SoCs. In International Symposium on System Synthesis. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Bona, A., Zaccaria, V., and Zafalon, R. 2004. System level power modeling and simulation of a high-end industrial network-on-chip. In Design Automation and Test in Europe (DATE). Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Brooks, D., Tiwari, V., and Martonosi, M. 2000. Wattch: A framework for architecture-level power analysis and optimization. In International Symposium on Computer Architecture (ISCA). Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Cai, L. and Gajski, D. 2003. Transaction Level Modeling: An overview. In International Conference of Hardware-Software Codesign and System Synthesis (CODES+ISSS). Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Caldari, M., Conti, M., Coppola, M., Crippa, P., Orcioni, S., Pieralisi, L., and Turchetti, C. 2003. System-level power analysis methodology applied to the AMBA AHB bus. In Design Automation and Test in Europe (DATE). Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Chen, R. Y., Irwin, M. J., and Bajwa, R. S. 2001. Architecture-level power estimation and design experiments. ACM Trans. Design Automation of Embedded Sys. 6, 1 (Jan.), 50--66. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Contreras, G., Martonosi, M., Peng, J., Ju, R., and Lueh, G.-Y. 2004. XTREM: A power simulator for the Intel XScale. In Languages, Compilers, and Tools for Embedded Systems (LCTES). Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Coumeri, S. L. and Thomas, D. E. 1998. Memory modeling for system synthesis. In International Symposium on Low-Power Electronics and Design (ISLPED). Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Fujita, M. and Ra, H. N. 2001. The standard SpecC language. In International Symposium on System Synthesis. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Givargis, T. and Vahid, F. 2002. Platune: A tuning framework for system-on-a-chip platforms. IEEE Trans. Comput.-Aided Design Integrated Circuits and Syst. 21, 11 (Nov.), 1317--1327. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Givargis, T., Vahid, F., and Henkel, J. 2000a. Instruction-based system-level power evaluation of SoC peripheral cores. In International Symposium on System Synthesis. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Givargis, T. D., Vahid, F., and Henkel, J. 2000b. A hybrid approach for core-based system-level power modeling. In Asia South Pacific Design Automation Conference (ASP-DAC). Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Givargis, T. D., Vahid, F., and Henkel, J. 2001. Trace-driven system-level power evaluation of system-on-a-chip peripheral cores. In Asia South Pacific Design Automation Conference (ASP-DAC). Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Grotker, T., Liao, S., Martin, G., and Swan, S. 2002. System Design With SystemC. Kluwer Academic Publishers, Boston, MA. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Habibi, A. and Tahar, S. 2003. A survey on system-on-a-chip design languages. In Proceedings of the 3rd IEEE International Workshop on System-on-Chip for Real-Time Applications.Google ScholarGoogle Scholar
  20. Intel. 2004. Intel XScale Microarchitecture for the PXA255 Processor: User's Manual. Intel.Google ScholarGoogle Scholar
  21. Itoh, K., Sasaki, K., and Nakagome, Y. 1995. Trends in low-power RAM circuit technologies. In Proceedings of the IEEE 83, 4, 524--543.Google ScholarGoogle ScholarCross RefCross Ref
  22. Jayadevappa, S., Shankar, R., and Mahgoub, I. 2004. A comparative study of modeling at different levels of abstraction in system on chip designs: A case study. In IEEE Computer Society Annual Symposium on VLSI Emerging Trends in VLSI Systems Design (ISVLSI).Google ScholarGoogle Scholar
  23. Lajolo, M., Raghunathan, A., Dey, S., and Lavagno, L. 2000. Efficient power estimation techniques for system-on-chip design. See citeNLajolo2002, 253--266. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Lajolo, M., Raghunandan, A., Dey, S., and Lavagno, L. 2002. Cosimulation-based power estimation for system-on-chip design. IEEE Trans. VLSI Syst. 10, 3 (June), 253--266. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Loo, S. M., Wells, B. E., Freije, N., and Kulick, J. 2002. Handel-C for rapid prototyping of VLSI coprocessors for real time systems. In The 34th Southeastern Symposium on System Theory.Google ScholarGoogle Scholar
  26. Micron. 2003. TN-46-03 Calculating DDR Memory System Power. Micron.Google ScholarGoogle Scholar
  27. Paver, N., Aldrich, B., and Khan, M. 2004. Programming with Intel Wireless MMX Technology: A Developer's Guide to Mobile Multimedia Applications. Intel Press, Santa Clara, CA. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Rich, D. I. 2004. The evolution of SystemVerilog. In Electronics Systems and Software.Google ScholarGoogle Scholar
  29. Rissa, T., Donlin, A., and Luk, W. 2005. Evaluation of SystemC modelling of reconfigurable embedded systems. In Design Automation and Test in Europe (DATE). Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. Russell, J. T. and Jacome, M. F. 1998. Software power estimation and optimization for high-performance 32-bit embedded processors. In International Conference on Computer design (ICCD). Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. Simunic, T., Benini, L., and Micheli, G. D. 1999. Cycle-accurate simulation of energy consumption in embedded systems. In Design Automation Conference (DAC). Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. Sinevriotis, G., Leventis, A., Anastasiadou, D., Stavroulopoulos, C., Papadopoulos, T., Antonakopoulos, T., and Stouraitis, T. 2000. SOFLOPO: Towards systematic software exploitation for low-power designs. In International Symposium on Low-Power Electronics and Design (ISLPED).Google ScholarGoogle Scholar
  33. Sinha, A. and Chandrakasan, A. P. 2001. JouleTrack--a web based tool for software energy profiling. In Design Automation Conference (DAC). Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. Talarico, C., Rozenblit, J. W., Malhotra, V., and Stritter, A. 2005. A new framework for power estimation of embedded systems. IEEE Comput. 38, 2 (Feb.), 71--78. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. Tiwari, V., Malik, S., and Wolfe, A. 1994. Power analysis of embedded software: A first step towards software power minimization. IEEE Trans. VLSI Syst. 2, 4, 437--445. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. Tiwari, V., Malik, S., Wolfe, A., and Lee, M. T.-C. 1996. Instruction-level power analysis and optimization of software. In IEEE International Conference on VLSI Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. Varma, A., Debes, E., Kozintsev, I., and Jacob, B. 2005. Instruction-level power dissipation in the Intel XScale embedded microprocessor. In SPIE's 17th Annual Symposium on Electronic Imaging Science and Technology.Google ScholarGoogle Scholar
  38. Ye, W., Vijaykrishnan, N., Kandemir, M., and Irwin, M. J. 2000. The design and use of SimplePower: A cycle-accurate energy estimation tool. In Design Automation Conference (DAC). Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Accurate and fast system-level power modeling: An XScale-based case study

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in

      Full Access

      • Published in

        cover image ACM Transactions on Embedded Computing Systems
        ACM Transactions on Embedded Computing Systems  Volume 7, Issue 3
        April 2008
        437 pages
        ISSN:1539-9087
        EISSN:1558-3465
        DOI:10.1145/1347375
        Issue’s Table of Contents

        Copyright © 2008 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 8 May 2008
        • Accepted: 1 April 2006
        • Revised: 1 February 2006
        • Received: 1 November 2005
        Published in tecs Volume 7, Issue 3

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article
        • Research
        • Refereed

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader