skip to main content
10.1145/1146909.1147152acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article

Variability driven gate sizing for binning yield optimization

Published:24 July 2006Publication History

ABSTRACT

Process variations result in a considerable spread in the frequency of the fabricated chips. In high performance applications, those chips that fail to meet the nominal frequency after fabrication are either discarded or sold at a loss which is typically proportional to the degree of timing violation. The latter is called binning. In this paper we present a gate sizing-based algorithm that optimally minimizes the binning yield-loss. We make the following contributions: 1) prove the binning yield function to be convex, 2) do not make any assumptions about the sources of variability, and their distribution model, 3) we integrate our strategy with statistical timing analysis tools (STA), without making any assumptions about how STA is done, 4) if the objective is to optimize the traditional yield (and not binning yield) our approach can still optimize the same to a very large extent. Comparison of our approach with sensitivity-based approaches under fabrication variability shows an improvement of on average 72% in the binning yield-loss with an area overhead of an average 6%, while achieving a 2.69 times speedup under a stringent timing constraint. Moreover we show that a worst-case deterministic approach fails to generate a solution for certain delay constraints. We also show that optimizing the binning yield-loss minimizes the traditional yield-loss with a 61% improvement from a sensitivity-based approach.

References

  1. A. Agrawal, K. Chopra, D. Blaauw, and V. Zolotov. Circuit Optimization Using Statistical Static Timing Analysis. In DAC, pages 338--342, 2005.Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. A. Raychowdhury, S. Ghosh, and K. Roy. A Novel On-chip Delay Measurement Hardware for Efficient Speed-Binning. In IOLTS, July 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. C. Visweswariah , K. Ravindran , K. Kalafala , S. G. Walker , and S. Narayan. First-Order Incremental Block-Based Statistical Timing Analysis. In DAC, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. D. Sinha, N. V. Shenoy, and H. Zhou. Statistical Gate Sizing for Timing Yield Optimization. In ICCAD, Nov. 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. H. Chang, V. Zolotov, S. Narayan, and C. Visweswariah. Parameterized Block-Based Statistical Timing Analysis with Non-Gaussian Parameters and Nonlinear Delay Functions. In DAC, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. http://www.mosek.com.Google ScholarGoogle Scholar
  7. J. Fishburn and A. Dunlop. TILOS: A Posynomial Programming Approach to Transistor Sizing. In ICCAD, pages 326--328, 1985.Google ScholarGoogle Scholar
  8. J. Singh, V. Nookala, Z. Luo, and S. Sapatnekar. Robust Gate Sizing by Geometric Programming. In DAC, pages 315--320, July 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolić. Digital Integrated Circuits. Prentice Hall. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. K. Chopra, S. Shah, A, Srivastava, David Blaauw, and D. Sylvester. Parameteric Yield Maximization using Gate Sizing based on Efficient Statistical Power and Delay Gradient Computation. In ICCAD, Nov. 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. M. Mani, A. Devgana, and M. Orshansky. An Efficient Algorithm for Statistical Minimization of Total Power under Timing Yield Constraints. In DAC, July 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. M. R. Guthaus, N. Venkateswaran, C. Visweswariah, and V. Zolotov. Gate Sizing Using Incremental Parameterized Statistical Timing Analysis. In ICCAD, Nov. 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. R. J-B Wets. Stochastic Programs with Fixed Recourse: The Equivalent Deterministic Program. In SIAM Review, pages 309--339, July 1974.Google ScholarGoogle ScholarCross RefCross Ref
  14. S. Bhardwaj, S. B. K. Vrdhula. Leakage Minimization of Nano-scale Circuits in the Presence of Systematic and Random Variations. In ICCAD, Nov. 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. S. Borkar, T. Karnik, S. Narendra, J. Tschanz, A. Keshavarzi, V. De. Parameter Variations and Impacts on Circuits and Microarchitecture. In DAC, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. S. Boyd and L. Vandenberghe. Convex Optimization. Cambridge 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. S. Sapatnekar, V. B. Rao, P.M. Vaidya, and S. M. Kang. An Exact Solution to the Transistor Sizing Problem for CMOS Circuits Using Convex Optimization. In IEEE Transactions on CAD, pages 1621--1634, Nov. 1993.Google ScholarGoogle Scholar
  18. V. Khandelwal, A. Srivastava. A General Framework for Accurate Statistical Timing Analysis Considering Correlations. In DAC, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Y. Cao, T. Sato, D. Sylvester, M. Orshansky, and C. Hu. New paradigm of predictive MOSFET and interconnect modeling for early circuit design. In Proc. of CICC, 2000.Google ScholarGoogle Scholar

Index Terms

  1. Variability driven gate sizing for binning yield optimization

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        DAC '06: Proceedings of the 43rd annual Design Automation Conference
        July 2006
        1166 pages
        ISBN:1595933816
        DOI:10.1145/1146909

        Copyright © 2006 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 24 July 2006

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • Article

        Acceptance Rates

        Overall Acceptance Rate1,770of5,499submissions,32%

        Upcoming Conference

        DAC '24
        61st ACM/IEEE Design Automation Conference
        June 23 - 27, 2024
        San Francisco , CA , USA

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader