Skip to main content
Log in

Dielectric Barrier in the Subtractive Process of Formation of a Copper Metallization System

  • Published:
Russian Microelectronics Aims and scope Submit manuscript

Abstract

This article studies various methods for the formation of dielectric diffusion barriers between open areas of copper and an organosilicate low-k dielectric in the subtractive method of forming a metallization system, in which metal lines are first formed, and then a low-k dielectric is deposited. Films of dense and porous organosilicate glass deposited by chemical deposition from solutions are used as a low-k dielectric. A comparison is made between AlN barrier layers formed by atomic layer deposition and SiCN barriers deposited by plasma-assisted chemical vapor deposition. The successful formation of a model structure of copper metallization using AlN barriers is demonstrated.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1.
Fig. 2.
Fig. 3.
Fig. 4.
Fig. 5.
Fig. 6.
Fig. 7.
Fig. 8.
Fig. 9.

Similar content being viewed by others

REFERENCES

  1. Taiwan Semiconductor Manufacturing Company. http://www.tsmc.com/english/dedicatedFoundry/technology/logic/l_5nm.

  2. Taiwan Semiconductor Manufacturing Company. http://www.tsmc.com/english/dedicatedFoundry/technology/future_rd.

  3. International Roadmap for Devices and Systems (IRDS), 2020 Edition. https://irds.ieee.org/.

  4. Maex, K., Baklanov, M.R., Shamiryan, D., Lacopi, F., et al., Low dielectric constant materials for microelectronics, J. Appl. Phys., 2003, vol. 93, no. 11, pp. 8793–8841.

    Article  Google Scholar 

  5. Ovchinnikov, I., Vishnevskiy, A., Seregin, D., Rezvanov, A., et al., Evaluation of mechanical properties of porous OSG Films by PFQNM AFM and benchmarking with traditional instrumentation, Langmuir, 2020, vol. 36, no. 32, pp. 9377–9387.

    Article  Google Scholar 

  6. Michalak, D., Blackwell, J., Torres, J., et al., Porosity scaling strategies for low-k films, J. Mater. Res. Technol., 2015, vol. 30, no. 22, pp. 3363–3385.

    Article  Google Scholar 

  7. Lionti, K., Volksen, W., Magbitang, T., et al., Toward successful integration of porous low-k materials: Strategies addressing plasma damage, ECS J. Solid State Sci. Technol., 2015, vol. 4, no. 1, pp. N3071–N3083.

    Article  Google Scholar 

  8. Jinnai, B., Nozawa, T., and Samukawa, S., Damage mechanism in low-dielectric (low-k) films during plasma processes, J. Vac. Sci. Technol., B, 2008, vol. 26, no. 6, p. 1926.

    Article  Google Scholar 

  9. Frot, T., Volksen, W., Purushothaman, S., Bruce, R., and Dubois, G., Application of the protection/deprotection strategy to the science of porous materials, Adv. Mater., 2011, vol. 23, no. 25, pp. 2828–2832.

    Article  Google Scholar 

  10. de Marneffe, J.-F., Yamaguchi, T., Fujikawa, M., Rezvanov, A., et al., Use of a thermally degradable chemical vapor deposited polymer film for low damage plasma processing of highly porous dielectrics, Appl. Electron. Mater., 2019, vol. 1, no. 12, pp. 2602–2611.

    Article  Google Scholar 

  11. Zhang, L., Ljazouli, R., Lefaucheux, P., Tillocher, T., et al., Damage free cryogenic etching of a porous organosilica ultralow-k film, ECS Solid State Lett., 2012, vol. 2, no. 2, pp. N5–N7.

    Article  Google Scholar 

  12. Rezvanov, A.A., Gushchin, O.P., Gornev, E.S., Krasnikov, G.Ya., et al., Adsorption isobars of fluorocarbon compounds selected for cryogenic plasma etching of low-k dielectrics, Elektron. Tekh., Ser. 3: Mikroelektron., 2015, vol. 1, p. 157, p. 49.

  13. Kaanta, C., Bombardier, S., Cote, W.J., Hill, W.R., et al., in Proceedings of 8th International IEEE VLSI Multilevel Interconnection Conference, 1991, pp. 144–152.

  14. Krasnikov, G.Ya., Valeev, A.S., Shelepin, N.A., Gushchin, O.P., Vorotilov, K.A., Vasil’ev, V.A., and Averkin, S.N., Method for manufacturing copper multilevel VLSI metallization, RF Patent no. 2420827 С1, 2011.

  15. Valeev, A.S., Shishko, V.A., Ranchin, S.O., Vorotilov, K.A., and Vasil’ev, V.A., Method for manufacturing multilevel metallization of integrated circuits with a porous dielectric layer in the gaps between conductors, RF Patent no. 2459313 С1, 2012.

  16. Valeev, A.S., Krasnikov, G.Ya., and Gvozdev, V.A., RF Patent no. 2486632, 2011.

  17. Valeev, A.S. and Krasnikov, G.Ya., Manufacturing technology of intra- and interchip interconnects for modern ULSIs: Review and concepts of development, Russ. Microelectron., 2015, vol. 44, no. 3, pp. 154–172.

    Article  Google Scholar 

  18. Zhang, L., de Marneffe, J.-F., Heylen, N., Murdoch, G., et al., Damage free integration of ultra low-k dielectrics by template replacement approach, Appl. Phys. Lett., 2015, vol. 107, no. 9, p. 092901.

    Article  Google Scholar 

  19. Khoo, K., Onuki, J., Nagano, T., Chonan, Y.H., et al., Aspect ratio dependence of the resistivity of fine line Cu interconnects, Jpn. J. Appl. Phys., 2007, vol. 46, no. 7A, pp. 4070–4073.

    Article  Google Scholar 

  20. Gupta, T., Copper Interconnect Technology, New York: Springer Science, 2009.

    Book  Google Scholar 

  21. Onuki, J., Khoo, K., Sasajima, Y., Chonan, Y., et al., Reduction in resistivity of 50 nm wide Cu wire by high heating rate and short time annealing utilizing misorientation energy, J. Appl. Phys., 2010, vol. 108, p. 044302.

    Article  Google Scholar 

  22. Edelstein D., Uzoh C., Cabral, C., Jr., de Haven, P., et al., An optimal liner for copper damascene interconnects, in Proceedings of the Advanced Metallization Conference 2001, McKerrow, A.J., Shacham-Diamond, Y., Zaima, S., and Ohba, T., Eds., 2002, pp. 541–547.

  23. Cheng, Y.L., Chiu, T.J., Wei, B.J., Wang, H.J., et al., Effect of copper barrier dielectric deposition process on characterization of copper interconnects, J. Vac. Sci. Technol., 2010, vol. 28, no. 3, pp. 567–572.

    Article  Google Scholar 

  24. Hu, C.K., Gignac, L., Rosenberg, R., Liniger, E., et al., Reduced Cu interface diffusion by CoWP surface coating, Microelectron. Reliab., 2003, vol. 70, pp. 406–411.

    Article  Google Scholar 

  25. Witt, C., Yeap, K.B., Leśniewska, A., Wan, D., et al., in Proceedings of 2018 IEEE International Interconnect Technology Conference, Santa Clara, CA, USA, 2018, pp. 54–56.

  26. Rezvanov, A., Miakonkikh, A., Seregin, D., Vishnevskiy, A., et al., Effect of terminal methyl group concentration on critical properties and plasma resistance of organosilicate low-k dielectrics, J. Vac. Sci. Technol., A, 2020, vol. 38, no. 3, p. 033005.

    Article  Google Scholar 

  27. Liu, C., Qi, Q., Seregin, D.S., Vishnevskiy, A.S., et al., Effect of terminal methyl groups concentration on properties of organosilicate glass low dielectric constant films, Jpn. J. Appl. Phys., 2018, vol. 57, no. 7S2, p. 07MC01.

  28. Vishnevskiy, A.S., Seregin, D.S., Vorotilov, K.A., Sigov, A.S., et al., Effect of water content on the structural properties of porous methyl-modified silicate films, J. Sol-Gel Sci. Technol., 2019, vol. 97, no. 2, pp. 273–281.

    Article  Google Scholar 

  29. Nenashev, R.N., Kotova, N.M., Vishnevskii, A.S., and Vorotilov, K.A., Effect of the Brij 30 porogen on the properties of sol-gel derived thin polymethylsilsesquioxane films, Inorg. Mater., 2016, vol. 52, no. 9, pp. 968–972.

    Article  Google Scholar 

  30. Entire encapsulation of Cu interconnects using self - aligned CuSiN film, US Patent no. 7524755B2.

  31. Chunn, S., Gosset, L.G., Michelon, J., Girault, V., et al., Cu surface treatment influence on Si adsorption properties of CuSiN self-aligned barriers for sub-65 nm technology node, Microelectron. Eng., 2006, vol. 83, nos. 11–12, pp. 2094–2100.

    Article  Google Scholar 

  32. Oviroh, P.O., Akbarzadeh, R., Pan, D., Coetzee, R.A.M., et al., New development of atomic layer deposition: Processes, methods and applications, Sci. Technol. Adv. Mater., 2019, vol. 20, no. 3, pp. 465–496.

    Article  Google Scholar 

  33. Padhi, D., Guggilla, S., Demos, A.T., Kumar, B., et al., Aluminum nitride barrier layer, US Patent no. US9646876B2.

  34. Urbanowicz, A.M., Shamiryan, D., Zaka, A., Verdonck, P., et al., Effects of He plasma pretreatment on low-k damage during Cu surface cleaning with NH3 plasma, J. Electrochem. Soc., 2010, vol. 157, no. 5, pp. H565–H573.

    Article  Google Scholar 

Download references

Funding

This study was supported by grant nos. 18-29-27024 and 18-29-27022 of the Russian Foundation for Basic Research.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to A. A. Orlov.

Additional information

Translated by S. Rostovtseva

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Orlov, A.A., Rezvanov, A.A., Gvozdev, V.A. et al. Dielectric Barrier in the Subtractive Process of Formation of a Copper Metallization System. Russ Microelectron 51, 470–479 (2022). https://doi.org/10.1134/S106373972270010X

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1134/S106373972270010X

Keywords:

Navigation