Open Access Paper
18 April 2016 Holistic lithography and metrology's importance in driving patterning fidelity
Martin van den Brink
Author Affiliations +
Abstract
There has been 43 years of overlay metrology in microlithography, and how did we get here? This presentation covered three areas: stepper metrology improvements, improved correction potential, and extended feedback loop outside stepper. The presenter went on to discuss where we are today In terms of holistic lithography, as well as where we are going with the future of holistic lithography.

43 years overlay metrology in microlithography: How did we get here?

  • Holistic Lithography: where we are today

  • The future of Holistic lithography: where we are going

  • Summary

    43 years overlay: 3 orders of magnitude down1

    00660_977802_page_2_1.jpg

    43 years overlay: 3 orders of magnitude down1

    1973: Introducing the first 1:1 wafer stepper, ~0,5 εm overlay

    00660_977802_page_2_2.jpg

    43 years overlay: 3 orders of magnitude down1

    00660_977802_page_3_1.jpg

    43 years overlay: 3 orders of magnitude down1

    00660_977802_page_3_2.jpg

    43 years overlay: 3 orders of magnitude down, Steppers1

    1979: 4-parameter reticle to wafer diffraction-based alignment

    00660_977802_page_4_1.jpg

    43 years overlay: 3 orders of magnitude down, Steppers1

    1986: 8-parameter alignment

    00660_977802_page_4_2.jpg

    43 years overlay: 3 orders of magnitude down, Steppers1

    1987-97: Increased correctables on step and scan

    00660_977802_page_5_1.jpg

    Buckley, C Karatzas, “Step and scan, a system overvieuw of a new lithography tool,”, Proc. SPIE vol 1088, Optical laser lithography II, march 1989, M.van den Brink, H,Jasper, S.Slonaker, P.van Wijnhoven, Frans Klaassen, “Step and Scan and Step and Repeat, a technology comparison” Proc. SPIE vol. 2726, Symposium on Micro lithography IX, march 1996

    43 years overlay: 3 orders of magnitude down, Steppers1

    2000: Multi-color alignment increased process robustness

    00660_977802_page_5_2.jpg

    43 years overlay: 3 orders of magnitude down, Steppers1

    2001: Increased metrology time at higher productivity using dual stage

    00660_977802_page_6_1.jpg

    43 years overlay: 3 orders of magnitude down, Steppers1

    2007: Small process-compatible alignment markers by self-referencing

    00660_977802_page_6_2.jpg

    M. Miyasaki, H.Saito, T.Tamura, T.Uchiyama, P.Hinnen, H.W.Lee, M.van Kemenade, M.Shahrjerdy, R.van Leeuwen, “The application of SMASH alignment system for 65-55 nm logic devices. Proc. SPIE vol. 6518, Metrology, inspection and process control for microlithography XXI February 2007, A den Boef, “Optical wafer metrology sensors for process-robust CD and overlay control in semiconductor device manufacturing”,Surf. Topogr.: Metrol. Prop. 4 (2016) 023001

    43 years overlay: 3 orders of magnitude down, corrections1

    User-definable correction capability increased ~4 orders of magnitude

    00660_977802_page_7_1.jpg

    43 years overlay: 3 orders of magnitude down, corrections

    1979: Manual stepper setup using verniers on reduction steppers

    00660_977802_page_7_2.jpg

    William. C. Schneider, “Testing The Mann Type 4800DSWTM Wafer Stepper” , Proc. SPIE vol. 0174, Developments in Semiconductor Microlithography IV, April, 1979

    43 years overlay: 3 orders of magnitude down, corrections1

    1982: 8-parameter stepper overlay setup model

    00660_977802_page_8_1.jpg

    43 years overlay: 3 orders of magnitude down, corrections

    1988: 25-parameter automatic alignment setup

    00660_977802_page_8_2.jpg

    M. A. van den Brink ; C. G. de Mol ; R. A. George, “Matching Performance For Multiple Wafer Steppers Using An Advanced Metrology Procedure”, Proc. SPIE vol. 0921, Integrated Circuit Metrology, Inspection, and Process Control II, march, 1988

    43 years overlay: 3 orders of magnitude down, corrections

    1993: i-line to DUV automated 99-parameter 8-machine matching setup

    00660_977802_page_9_1.jpg

    Martin A. van den Brink; Chris G. M. de Mol; Judon M. D. Stoeldraijer ,“Matching of multiplewafer steppers for 0.35-μm lithography using advanced optimization schemes”, Proc. SPIE vol.1926, Integrated Circuit Metrology, Inspection, and Process Control VII, February, 1993

    43 years overlay: 3 orders of magnitude down, corrections1

    2007: 20-parameter higher-order user-definable corrections per field

    00660_977802_page_9_2.jpg

    43 years overlay: 3 orders of magnitude down, feedback1

    1993: Stepper external feedback control

    00660_977802_page_10_1.jpg

    43 years overlay: 3 orders of magnitude down, feedback

    2000: Stepper advanced process control

    00660_977802_page_10_2.jpg

    43 years overlay: 3 orders of magnitude down, feedback1

    2008: Litho feedforward and feedback control

    00660_977802_page_11_1.jpg

    43 years overlay: 3 orders of magnitude down, feedback1

    2012: Small target design allowing on-product targets

    00660_977802_page_11_2.jpg

    43 years overlay: 3 orders of magnitude down1

    00660_977802_page_12_1.jpg

  • 43 years overlay metrology in microlithography: How did we get here?

Holistic Lithography: where we are today

  • The future of Holistic lithography: where we are going

  • Summary

    ASML holistic lithography: 6 competences

    00660_977802_page_13_1.jpg

    1) Advanced Lithography: significantly improved

    on critical parameters both for immersion as well EUV

    00660_977802_page_13_2.jpg

    2) Metrology: boosts performance and productivity

    Increase metrology accuracy, cut cost of metrology by a factor of 4

    00660_977802_page_14_1.jpg

    3) Computational Lithography: Robust modeling capability

    Negative Tone Development (NTD) resist with physical modeling accuracy improved 59%

    00660_977802_page_14_2.jpg

    4) Process Window Enhancement: EUV optimization

    over an increasingly large parameter space improves window 27%

    00660_977802_page_15_1.jpg

    5) Metrology: >30% improved wafer edge overlay

    on Memory process stack using integrated and diffraction-based overlay metrology, fingerprint capturing and sampling optimization

    00660_977802_page_15_2.jpg

    6) Process Window Detection: Engineering efficiency

    improvement by computational assisted alignment marker, recipe and sampling scheme optimization

    00660_977802_page_16_1.jpg

  • 43 years overlay metrology in microlithography: How did we get here?

  • Holistic Lithography: where we are today

The future of Holistic lithography: where we are going

  • Summary

    Challenges by balancing sampling and correction density

    Improved noise suppression by determining fingerprint capture

    00660_977802_page_17_1.jpg

    Fingerprint capturing will improve correction noise

    00660_977802_page_17_2.jpg

    Fingerprint modeling can decrease # parameters >10x

    resulting in better capturing the errors and reducing noise

    00660_977802_page_18_1.jpg

    Reducing overlay by 25% and improving edge yield

    Using an optimized sampling scheme

    00660_977802_page_18_2.jpg

  • 43 years overlay metrology in microlithography, how did we get here

  • Holistic Lithography; where are we today

The future of Holistic lithography, where are we going

  • Summary

    Diffraction-based process-robust overlay metrology

    Fast and affordable overlay metrology allowing dense wafer sampling

    00660_977802_page_19_1.jpg

    Metrology target and recipe design requires optimization

    to meet tight overlay requirements, computational approach needed to reduce the experimental verification/engineering time

    00660_977802_page_20_1.jpg

    Using multi-wavelength to improve process robustness

    on Yieldstar, reducing the influence of process asymmetry on overlay

    00660_977802_page_20_2.jpg

    Leon Verstappen et.al., “Holistic Overlay Control for Multi-patterning Process layers at the 10-nm and 7-nm nodes”, SPIE conference 9778-141, Feb 2016

    Holistic Metrology Qualification selects recipe

    with best overlay accuracy

    00660_977802_page_21_1.jpg

    Leon Verstappen et.al., “Holistic Overlay Control for Multi-patterning Process layers at the 10-nm and 7-nm nodes”, SPIE conference 9778-141, Feb 2016

    Target to Device overlay mismatch reduced to < 0.9 nm

    By optimizing target layout compatibility with device layout

    00660_977802_page_21_2.jpg

    J.Zhou, “Eliminating the offset between overlay metrology and device pattern using computational target design” SPIE conference 9778-50, February 2016

    Substantial alignment process robustness improvement

    Using multiple wavelengths and polarizations in computational overlay simulation

    00660_977802_page_22_1.jpg

  • 43 years overlay metrology in microlithography: How did we get here?

  • Holistic Lithography: where we are today

The future of Holistic lithography: where we are going

  • Summary

    Pattern fidelity is impacted by multi-patterning and variability

    Edge placement error affected by overlay and CD variations

    00660_977802_page_23_1.jpg

    Data courtesy IMEC 10-nm logic design (M1)

    CD variation after etch effectively controlled with scanner

    Self-aligned double patterning fidelity optimized by balancing spacers S1 and S2

    00660_977802_page_23_2.jpg

    CD fidelity improved by 2x using higher-order corrections

    00660_977802_page_24_1.jpg

    J. Lee et. al, “Spacer multi-patterning control strategy with optical CD metrology on device structures” SPIE conference 9778-80, February 2016

    Challenge in pattern fidelity and control

    00660_977802_page_24_2.jpg

    Patterning fidelity litho control impact, the next holistic step

    Using computational prediction allowing per wafer patterning control

    00660_977802_page_25_1.jpg

    Source: Imec 10 nm SuperNova M1A

    Extension of control loops to patterning and fidelity

    00660_977802_page_25_2.jpg

    Pattern fidelity improvement through scanner corrections

    00660_977802_page_26_1.jpg

  • 43 years overlay metrology in microlithography: How did we get here?

  • Holistic Lithography: where we are today

  • The future of Holistic lithography: where we are going

  • Summary

Future trends in holistic lithography – overlay

  • In general for overlay and pattern fidelity:

    • The stepper correction capability is on a millimeter scale and underutilized

    • Sampling from product vs targets could lead to an different overlay measurement

  • What we observe for overlay:

    • Overlay contribution from wafer deformation and marker fidelity vs stepper accuracy is increasing in the total overlay budget

    • Wafer deformation and marker fidelity variation from wafer to wafer starts contributing in the overlay

  • As a consequence for overlay

    • There needs to be a consistent trend down in cost per measurement for metrology to allow higher sampling density

    • Sampling schemes need to be optimized capturing the relevant parameter instability and allow averaging to reduce noise

    • Above will allow scanner correction capability moving from feedback per batch on global targets to feedback per wafer on intra-die product structures

Future trends in holistic lithography – pattern fidelity

  • What we observe for pattern fidelity

    • Multiple patterning complexity increases the pattern variability per wafer not to be captured by existing tools for acceptable cost

    • The variability widens from variability in CD to variability in 3D geometry including edge placement and defects

  • Pattern fidelity requirements could be met by

    • Optical CD metrology allows chip manufacturers to increase sample rate for acceptable cost allowing scanner correction capability per die per wafer

    • Defects could be predicted by simulating hotspots and convolutes with wafer focus, dose and aberration maps producing a per wafer defect probability map

    • Per wafer control loops can be designed for defect and edge placement by driving the stepper settings

Notes

[1] Overlay data from projection lithography systems presented in SPIE publications 1973 - 2015

[2] Overlay data from projection lithography systems presented in SPIE publications 1973 - 2015

[3] Overlay data from projection lithography systems presented in SPIE publications 1973 - 2015

[4] Overlay data from projection lithography systems presented in SPIE publications 1973 - 2015

[5] Overlay data from projection lithography systems presented in SPIE publications 1973 - 2015

[6] Overlay data from projection lithography systems presented in SPIE publications 1973 - 2015

[7] Overlay data from projection lithography systems presented in SPIE publications 1973 - 2015

[8] Overlay data from projection lithography systems presented in SPIE publications 1973 - 2015

[9] Overlay data from projection lithography systems presented in SPIE publications 1973 - 2015

[10] Overlay data from projection lithography systems presented in SPIE publications 1973 - 2015

[11] Overlay data from projection lithography systems presented in SPIE publications 1973 - 2015

[12] Overlay data from projection lithography systems presented in SPIE publications 1973 - 2015

[13] Overlay data from projection lithography systems presented in SPIE publications 1973 - 2015

[14] Overlay data from projection lithography systems presented in SPIE publications 1973 - 2015

[15] Overlay data from projection lithography systems presented in SPIE publications 1973 - 2015

[16] YS Kim, Y.S.hwang, M.R.Jung, J. H. Yoo, W.T.Kwon, K.Ryan, P.Tuffy, Y. Zhang, S.Park , N.L.Oh, C.Park . M.Shahrjerdy, R Werkam, K.T.Sun, J.M.Buyn,“Improving full-wafer on-product overlay using computationally designed process robust and device-like metrology targets” Proc SPIE proc. 9424, Metrology, Inspection, and Process Control for Microlithography XXIX, Feb 2015

[17] YS Kim, Y.S.hwang, M.R.Jung, J. H. Yoo, W.T.Kwon, K.Ryan, P.Tuffy, Y. Zhang, S.Park , N.L.Oh, C.Park . M.Shahrjerdy, R Werkam, K.T.Sun, J.M.Buyn, “Improving full-wafer on-product overlay using computationally designed process robust and device-like metrology targets” Proc SPIE proc. 9424, Metrology, Inspection, and Process Control for Microlithography XXIX, Feb 2015

© (2016) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Martin van den Brink "Holistic lithography and metrology's importance in driving patterning fidelity", Proc. SPIE 9778, Metrology, Inspection, and Process Control for Microlithography XXX, 977802 (18 April 2016); https://doi.org/10.1117/12.2225538
Lens.org Logo
CITATIONS
Cited by 3 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Overlay metrology

Metrology

Semiconducting wafers

Lithography

Optical lithography

Process control

Control systems

Back to Top