A Low-Power Sparse Convolutional Neural Network Accelerator With Pre-Encoding Radix-4 Booth Multiplier | IEEE Journals & Magazine | IEEE Xplore