Gated Vernier Delay Line Time Integrator for Time-Mode Signal Processing | IEEE Conference Publication | IEEE Xplore