skip to main content
10.1145/581199.581253acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
Article

Round-robin arbiter design and generation

Published:02 October 2002Publication History

ABSTRACT

In this paper, we introduce a Round-robin Arbiter Generator (RAG) tool. The RAG tool can generate a design for a Bus Arbiter (BA). The BA is able to handle the exact number of bus masters for both on-chip and off-chip buses. RAG can also generate a distributed and parallel hierarchical Switch Arbiter (SA). The first contribution of this paper is the automated generation of a round-robin token passing BA to reduce time spent on arbiter design. The generated arbiter is fair, fast, and has a low and predictable worst-case wait time. The second contribution of this paper is the design and integration of a distributed fast arbiter, e.g., for a terabit switch, based on 2x2 and 4x4 switch arbiters (SAs). Using a .25µ TSMC standard cell library from LEDA Systems [10, 14], we show the arbitration time of a 256x256 SA for a terabit switch and demonstrate that the SA generated by RAG meets the time constraint to achieve approximately six terabits of throughput in a typical network switch design. Furthermore, our generated SA performs better than the Ping-Pong Arbiter and Programmable Priority Encoder by a factor of 1.9X and 2.4X, respectively.

References

  1. W. J. Dally and B. Towels, "Route, Packets, Not Wires: On-Chip Interconnection Networks," Proceedings of IEEE Design Automation Conference, 2001, pp. 684--689. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. F. A. Tobagi, "Fast Packet Switch Architecture for Broadband Integrated Services Digital Networks," Proceedings of IEEE, January 1990, pp. 133--167.Google ScholarGoogle Scholar
  3. N. Mckeown, P. Varaiya, and J. Warland, "The iSLIP Scheduling Algorithm for Input-Queued Switch," IEEE Transaction on Networks, 1999, pp. 188--201. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. H. J. Chao and J. S. Park, "Centralized Contention Resolution Schemes for a Larger-capacity Optical ATM Switch," Proceedings of IEEE ATM Workshop, 1998, pp. 11--16.Google ScholarGoogle Scholar
  5. H. J. Chao, C. H. Lam, and X. Guo, "A Fast Arbitration Scheme for Terabit Packet Switches," Proceedings of IEEE Global Telecommunications Conference, 1999, pp. 1236--1243.Google ScholarGoogle Scholar
  6. Y. Tamir and H-C. Chi, "High Performance Multi-queue Buffers for VLSI Communications Switches," IEEE Transaction on Communications, 1987, pp. 1347--1356.Google ScholarGoogle Scholar
  7. Synopsys, Design Compiler, Available HTTP: http://www.synopsys. com/products/logic/design_comp_cs.html.Google ScholarGoogle Scholar
  8. P. Gupta and N. Mckeown, "Designing and Implementing a Fast Crossbar Scheduler," IEEE Micro, 1999, pp. 20--28. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. P. Rigby, "Mindspeed unveils terabit switch chip," Network World Fusion Newsletter, 12/12/01, Available HTTP: http:// www. nwfusion.com/newsletters/optical/2001/01142734.html.Google ScholarGoogle Scholar
  10. TSMC, "IP Services," Available HTTP: <http://www.tsmc.com/> design/ip.html.Google ScholarGoogle Scholar
  11. PetaSwitch Product, Available HTTP: <http://www.peta->switch.com.Google ScholarGoogle Scholar
  12. A. Silberschatz, P. Galvin, G. Gagne, Applied Operation System Concepts, NY: John Willey and Sons, Inc., 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. E. S. Shin, V. J. Mooney III, G. F. Riley, "Round-robin Arbiter Design and Generation," Georgia Institute of Technology, Atlanta, GA, Technical Report GIT-CC-02-38, 2002, Available HTTP: http://www.cc.gatech.edu/tech_reports.Google ScholarGoogle Scholar
  14. LEDA Systems, Available HTTP: <http://www.ledasys.com>.Google ScholarGoogle Scholar
  15. W. Stallings, Data and Computer Communications, Fifth Edition, NJ: Prentice Hall, 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Mindspeed, Available HTTP: http://mindspeed.com.Google ScholarGoogle Scholar

Index Terms

  1. Round-robin arbiter design and generation

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        ISSS '02: Proceedings of the 15th international symposium on System Synthesis
        October 2002
        278 pages
        ISBN:1581135769
        DOI:10.1145/581199

        Copyright © 2002 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 2 October 2002

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • Article

        Acceptance Rates

        ISSS '02 Paper Acceptance Rate38of71submissions,54%Overall Acceptance Rate38of71submissions,54%

        Upcoming Conference

        ESWEEK '24
        Twentieth Embedded Systems Week
        September 29 - October 4, 2024
        Raleigh , NC , USA

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader