Introduction

We carried out an in-depth investigation of one of the critical processing steps in the fabrication of Ge-on-Si photodiodes with “PureGaB” anodes formed by capping Ge-islands with first a wetting layer of Ga and then a few nanometers of pure boron. Specifically, in the diodes studied here, and described earlier in,1,2 the contacting of the perimeter of the anode inadvertently resulted in the aluminum metallization being able to reach and react with the Ge/Si interface. This in turn resulted in an anomalous Al-mediated material transport. Otherwise, the B-layer forms a material barrier between the Al and Ge, preventing such interactions that occur at standard back-end CMOS processing temperatures around 400–500°C. Also, for other metals, nanometer-thin B-layers have proven to be potent material barriers to semiconductors,3 and can replace more commonly used barrier materials like TiN.4

Despite the unwanted Al-Ge perimeter interaction in the PureGaB photodiodes studied here, the devices distinguished themselves by being responsive at far ultraviolet (FUV) wavelengths as low as 255 nm, as well as having the short wavelength infrared (SWIR) sensitivity characteristic of Ge photodiodes, as already reported in.5 This broadband nature is due to the damage-free method with which the PureGaB anode is fabricated that gives a shallow, graded p+-doping profile without role-off at the Ge surface.6,7 This enables low dark currents and photosensitivity close to the Ge surface. The absorption length of UV light is only about 10 nm for wavelengths around 200 nm,8 much lower than conventional photodiode junction depths. In CMOS, it is common to use specially designed Si photodiodes with ultrashallow junctions in this wavelength range.9 Compared to these Si photodiodes, the inherently much higher dark current of Ge photodiodes is a disadvantage. Nevertheless, a comparison of the optical constants and internal quantum yields of Si and Ge10,11,12 indicates that Ge photodiodes could even outperform Si diodes for the detection of FUV light if the photosensitive region of the pn-junction in Ge is brought close enough to the surface.

Alternative methods presented for forming shallow pn-junctions in Ge devices include ion implantation,13 monolayer doping,14 and spin-on-dopants activated by laser annealing.15,16 Defect-free pn-junctions are also grown epitaxially by chemical vapor deposition (CVD) in blanket depositions, but they require mesa etching for device isolation.17 Often these methods lead to high dark currents above 10−3 A/cm2 due to material defects produced during the fabrication/isolation of the pn-junction.18 This is in contrast to PureGaB photodiodes that, in past reports, were demonstrated to have ideality factors less than 1.1 and dark current densities as low as 15 μA/cm2 at room temperature,1 and operation was achieved as avalanche photodiodes in both linear and Geiger modes.2,19 The dark current values are among the best reported in the literature.20,21,22 Moreover, PureGaB Ge-on-Si photodiodes were fabricated in 300 × 1 arrays with different pixel geometries showing high reproducibility and uniformity of the electrical and optical characteristics.2 Except for,22 that presents a lateral Ge photodiode, the junction depth of other vertical photodiodes was large and responsivity values for wavelengths below 600 nm were not reported.

The broadband detection of wavelengths in the range 200–1600 nm coupled with CMOS compatibility holds a promise of increasing functionality in a variety of optoelectronic systems. For example, fields such as spectroscopy,23 military24 and security25 applications benefit from having a single broadband sensor to cover detection of photons from FUV to SWIR wavelengths. To profit from the potential boost in functionality, the broadband sensors need to be integrated with CMOS circuitry, preferably monolithically. This has, for example, led to work on the monolithic integration of graphene in CMOS as a phototransistor that forms the basic component of broadband image sensors.26 Nevertheless, seamless integration of materials such as graphene in CMOS is still technologically challenging.27 In contrast, Ge can be easily grown directly on silicon and is responsive to SWIR wavelengths up to 1600 nm. In several Ge-on-Si technologies, the fabrication of high-performance photodetectors has been demonstrated,17,19,28,29, but they were not characterized at UV wavelengths. For PureGaB Ge-on-Si diodes, earlier focus was mainly on the CMOS compatibility and overall good performance.

In this paper, the anomalous Al-mediated material transport is investigated in relation to the degree to which it does or does not degrade the broadband optoelectronic PureGaB photodiode performance. The diode current–voltage (IV) characteristics and responsivity are determined using laser wavelengths from 406 nm to 1550 nm. After the standard 400°C Al alloying step, the devices were exposed to a series of extra anneals in the temperature range 100°C to 500°C. To our knowledge, this is the first report describing this specific type of material transport system. Other systems have been studied with the purpose of achieving Al-induced crystallization of Ge or SiGe,30,31,32,33,34 mainly for the purpose of fabricating low-cost SWIR photodiodes. This work contributes to an understanding of the situations in which Al-mediated recrystallization of Ge/Si material systems can be achieved.

The experimental procedures for device fabrication and optoelectrical measurement are described in “Experimental Methods” along with basic simulation parameters used to estimate the achievable responsivity. In “Results”, the results of material analysis and optoelectrical measurements are given, and the overall results are discussed in the “Discussion”, leading to the conclusions presented in the “Conclusions”.

Experimental Methods

Device Fabrication

In Fig. 1, a schematic process flow for the fabrication of the PureGaB Ge-on-Si diodes is shown. They were integrated 2–5 ohm-cm n-type (100) 100-mm Si wafers covered with a layer-stack of 30-nm-thick thermal and 1-μm-thick low-pressure CVD SiO2, and patterned with windows etched to the Si surface (Fig. 1a). All the depositions were performed in an ASM Epsilon 2000 CVD reactor equipped with germane (GeH4), arsine (AsH3), trimethylgallium (TMGa) and diborane (B2H6) carrier gases. After dip-etching in 0.5% diluted hydrofluoric acid and Marangoni cleaning,35 the Ge was deposited in the windows to create islands with a thickness less than that of the surrounding oxide. These Ge islands were in situ n-doped with arsenic to a value of about 1016 cm−3 and, after growth, annealed at 750°C for 1 h. The defect density of the crystalline Ge that was deposited with this approach was shown to be in the order of 107 cm−2,36 and the resulting Ge photodiode islands within our 300 × 1 diode arrays displayed a high reproducibility with respect to the resulting optoelectronic characteristics.1,2

Fig. 1.
figure 1

Schematic process flow for the fabrication of the PureGaB Ge-on-Si photodiodes: (a) oxide window opening for selective epitaxial Ge/Ga/B growth; (b) plasma-enhanced chemical vapor deposition (PECVD) of SiO2; and (c) contact window etching, and Al/Si(1%) sputtering and patterning.

The Ge-islands were capped in situ with a wetting-layer of Ga at 400°C and then a B-layer at 700°C that functioned as a barrier to prevent Ga desorption and interactions between the Ge and Al metallization. This Ga-B layer stack was 11 nm thick, as extracted from energy dispersive x-ray spectroscopy (EDS) in,1 and formed the anode of the photodiodes. The wafers were then covered with a 800-nm-thick plasma-enhanced CVD SiO2 layer (Fig. 1b), which was patterned with 1-μm-wide windows along the perimeter of the Ge-photodiode islands to contact the anode. These windows were opened by plasma etching with soft landing on the B-layer. Next, 800-nm Al/Si(1%) was sputtered and removed over the photosensitive junctions by means of selective plasma etching of the Al layer to the oxide covering the Ge-islands (Fig. 1c).

As a last step, the metal was alloyed at 400°C in forming gas to improve the Al adhesion and also H-passivate the Si/SiO2 interface. To study the effects of this temperature treatment in more detail, fully processed devices were optoelectronically characterized before and after extra heat treatments at 100°C and 500°C performed in a bell-jar setup with a vacuum less than 10−3 mbar.

Device Design

Measurements were performed on Ge photodiodes with a variety of geometries, some of which are listed in Table I. The sizes of individual square-shaped Ge-islands ranged from 5 × 5 µm2 to 26 × 26 µm2, and 50 × 11 µm2 devices were also available. To prevent loading effects during the depositions from giving a large size dependence of the Ge-island thickness and doping, 5-μm-wide open Si windows were patterned to form a moat around the device islands, as shown in Fig. 1. The selectivity of the 700°C depositions was good and no precipitates of Ge are found on the oxide surface after Al etching, neither by optical/material examination nor electrical measurement.

Table I. List of selected types of experimental PureGaB photodiodes, their alloying time, and Ge-island geometry, including on-mask values for the total area of Ge, light-entrance windows, and Al covering the contact-windows.

Two different process runs were studied that differed in the length of the 400°C alloy step. As indicated in Table I, the SPD diodes had a short 30-min alloy while the LPD diodes received a longer 1-h alloy. Some diodes were composed of groups of Ge-islands separated by a 1-μm-wide oxide region. Therefore, the ratios between Ge perimeter/area and the total metal coverage varied significantly, as specified in Table I.

Measurement Techniques

The main aim of the optoelectrical measurements was to couple the electrical low-voltage IV diode characteristics to the corresponding optical responsivity. These results were supplemented with images taken by optical microscopy and atomic-force microscopy (AFM). In addition, high-resolution transmission electron microscopy (HRTEM) images of the SPD devices, some previously discussed in Ref. 1 , were also available.

Optoelectronic measurements were performed using an in-house setup built around a Karl Suss MicroTec PM300 wafer-prober, as illustrated in Fig. 2. The probe station was equipped with an ATT liquid-cooled thermal chuck, allowing measurements in the temperature range − 50°C to 100°C. In all cases, a Keithley 4200 parameter analyzer was used to measure the IV characteristics of the diodes under test (DUTs).

Fig. 2.
figure 2

Schematic of the optoelectrical measurement setup.

The setup was designed for on-wafer biasing of the DUT while it was exposed to laser light from a 4-channel laser source, Thorlabs MCLS1, using fiber-coupled laser diodes. The available wavelengths were λ = 406 nm, 670 nm, 1310 nm, and 1550 nm. The fibre was connected to the lamp adapter of the probe station, and a spot size of approximately 10 µm was focused on the DUT.

The transmission coefficient, Tc, of the microscope was influenced by varying environmental factors. Therefore, a reference photocurrent was established by exposing a calibrated Thorlabs germanium photodetector to our light source, either directly from the fiber or by passing it through the optical path of the microscope setup. The corresponding photocurrents, Idirect and Imscope, respectively, were then used to calculate Tc as:

$$ T_{{\text{c}}} = \frac{{I_{{{\text{mscope}}}} }}{{I_{{{\text{direct}}}} }}. $$
(1)

The optical power, PDUT, arriving at the DUT was then calculated as:

$$ P_{{{\text{DUT}}}} = P_{0} T_{{\text{c}}} , $$
(2)

where P0 is the optical power delivered by the laser. The Tc was monitored after each measurement of the diode current, IDUT, of the PureGaB DUTs, giving the responsivity, R, as:

$$ R = \frac{{I_{{{\text{PD}}}} }}{{P_{{{\text{DUT}}}} }}; I_{{{\text{PD}}}} = I_{{{\text{DUT}}}} - I_{{{\text{dark}}}} $$
(3)

where Idark is the dark current and IPD the photogenerated current. It was possible to position the light spot within the light entrance window of diodes with sizes from 12 × 12 µm2 to 26 × 26 µm2. Most measurements were performed with a laser power of 1 mW, which mainly corresponded to a power in the 10–20 µW range landing on the DUT.

Simulation Parameters

Optoelectronic device simulations were performed using technology computer-aided design software, Synopsys Sentaurus Device.37 A Ge-on-Si structure was assumed with a thickness of the simulated n-type Si substrate of 500 µm with constant doping, NSi, of 1015 cm−3. Simulated parameters of the Ge-island were extracted from the HRTEM analysis of the SPD diodes, i.e., a Ge thickness tGe = 0.5 µm, and an oxide thickness tox = 0.75 µm were assumed. The n-type doping of the Ge island was constant, with concentration NGe, = 1016 cm−3. Since the optical properties of the PureGaB layer were unknown, the PureGaB layer was omitted from the simulations, and instead a highly-doped p+-region was assumed. Some Ge doping with Ga is expected during the 700°C 10-min-long B-deposition but the resulting profile is not known. Due to the capping layer of B and the previously measured optical response for wavelengths as low as 255 nm,5 we suspect that the Ga doping is shallow and without roll-off at the Ge surface. Just as has been shown for PureB Si diodes,7 the reduction in responsivity can be quite low because the whole p-doping gradient effectively repels electrons from the surface. Therefore, to get a reasonable fit, we choose a 20-nm-thick p-doping of the Ge surface with a constant doping of 1020 cm−3.

Fermi–Dirac statistics38 and carrier mobility using the Philips unified mobility model39 were assumed for electrons and holes. The Shockley–Read–Hall generation–recombination model was used with electron and hole lifetimes for Si set to their default values. Based on,40 we assumed electron and hole lifetimes to be equal and for simplicity to have a value of τe = τh = τe,h = 10−5 s. Responsivity was extracted based on Eq. (3) by performing simulations without optical generation to obtain dark current values. Optical generation was modeled using the transfer matrix method model37 which takes into account absorption, reflectivity, and interference in the simulated stack. Irrespective of the wavelength, an internal quantum yield of 1 was assumed.

Results

Imaging Analysis

In Fig. 3, HRTEM images of SPD devices are shown. The original shape of the as-grown Ge-island can be discerned but the processing of the contacts at the island perimeter has led to irregularities in the contours of the island. The oxide hills that separate the Ge-islands have sidewalls with several notches that were formed by the sequence of wet/plasma etch steps. When opening the windows for Ge deposition, a significant notch was formed at the base due to the soft-landing plasma-etch step, and it is further enlarged by the pre-Ge-deposition HF dip. The Ge growth fills this notch, giving the pointed shape at the island edge, the extremity of which was thus covered by oxide when the Ga-B layer stack was deposited.

Fig. 3.
figure 3

HRTEM images of SPD diodes: (a) two Ge islands separated by 1 µm SiO2, with a reconstruction (dotted yellow line) of where the tip of the Ge-island was removed because the Ge was not covered by oxide; (b) and (c) a Ge-island where the SiGe and Al regions resulting from Al-induced material migration are visible, as indicated in (b) (Color figure online).

The etching of the window to contact the B-layer resulted in an opening larger than anticipated, exposing about 100 nm of the Ge-island. At this point, the Al reacted with the Ge, dissolving the Ge tip. In addition, Al-mediated transport of both Ge and Si is seen at the Si-Ge interface where pitting of the Si appears up to 5 µm away from the point of Al contact. At a few places, Al has coalesced into crystals. Apart from the point of Al contact, the top surface of the Ge-island is not deformed.

This is to be expected where it is covered with the 750-nm-thick oxide, but also, where the oxide has been replaced by Al, the Ge surface only displays a very slight roughening that can be correlated to the underlying changes in the Ge crystal structuring. This is a confirmation that the B-layer functions as an efficient material barrier between the Ge and Al.

In-line optical microscopy inspections of the diodes directly after metal patterning revealed no abnormalities of the Al patterns. However, after the alloying step, the effects of the Al-induced material transport were evident. An example is shown in Fig. 4 where microscopy images of the LPD26, LPD12, and LPD50 diodes are shown. The metal has moved away from the contact-window edge in an irregular fashion, with more metal appearing at the position where the tracks contact the diodes. This is particularly clear when comparing the diodes marked A and B that, respectively, do and do not have connections to a track. The diodes marked C are completely covered with oxide and all metal was removed.

Fig. 4.
figure 4

Microscope images of the diodes LPD26, LPD12, and LPD50. The type A and B Ge-islands were processed as shown in Fig. 1, but A was connected to a metal track while B was not. The type C Ge-island remained covered with oxide and the metal on it was completely removed.

Dies of SPD devices were given extra anneals. In Fig. 5, the result of a 500°C 30-min anneal is shown. The Al structures that are not connected to any Ge-islands are not visibly affected by this temperature step, while those that are connected, including both tracks and pads, are seriously deformed. The Al seems to disappear on and near the Ge-islands, coalescing into droplets of Al along the rest of the tracks and on the pads.

Fig. 5.
figure 5

Microscope images of a row of (a) non-annealed LPD26 diodes combined with a schematic of the measurement pad/track layout, and rows of (b) SPD26 diodes and (c) SPD5 diodes (composed of a 4 × 4 array of 5 × 5 um2 diodes), both annealed at 500°C for 30 min. Two SPD26 diodes that are not connected to a metal track are circled by white dashed lines. Only every second pad 6 is connected to a Ge-island.

The movement of the Al to form droplets was confirmed by AFM imaging of one of the affected pads, as shown in Fig. 6. The height profiles confirm that the Al has disappeared in some places and is about double the nominal thickness in other places, whereas the metal that is not connected to a Ge-island has the nominal thickness of ~ 750 nm. Although the metal tracks were seriously deranged, the electrical contact was preserved due to remnants of Al that were particularly visible where the original grain boundaries appeared to have been. Hence, the optoelectrical measurements could also be made on these diodes.

Fig. 6.
figure 6

AFM image and height profile of metal patterned on the oxide only (Profile 1) and metal in a measurement pad connected to a SPD12 diode after annealing at 500°C for 30 min (Profile 2).

Of particular interest was the behavior at temperatures that could occur during device operation. Therefore, SPD devices were exposed to several anneals at 100°C, one with a total time of 40 h. The microscopy images of the SPD26 and SPD50 diodes are shown in Fig. 7. The metal patterns before and after annealing are identical. In addition, it can be noted that the amount of displaced Al is much less than for the LPD diodes of Fig. 5 that had a 30-min longer 400°C alloy step.

Fig. 7.
figure 7

Microscope images of SPD26 and SPD50 diodes before and after a 100°C anneal for 40 h.

Electrical Characterization

In Fig. 8, the IV characteristics of the diodes are plotted along with those of a comparable Si PureB diode of similar size fabricated on an n-Si substrate with doping ~1015 cm−3. The current of the Si diode is more than 3 decades lower than the Ge diodes, due to the much higher Si bandgap of 1.12 eV as compared to 0.66 eV for Ge. The 3 different Ge diodes have current differences that roughly follow the differences in Ge-island area. For the LPD diodes the current differences are also present but they are much smaller and not shown here.

Fig. 8.
figure 8

Measured IV characteristics for several SPD26, SPD50, and SPD5 diodes compared to those of a Si PureB diode, fabricated as described in Ref. 41, and an Al-Ge Schottky diode,42 both of size 40 × 40 µm2 and processed on a substrate with doping 1015 cm−3.

In Fig. 9, the effect of the 100°C 40-h anneal on the IV characteristics of the SPD50 diodes can be seen. As for the imaging analysis, no modification of the original situation is visible. Several curves measured after the 500°C 30-min anneal are also shown. In this case, the current was reduced by a few factors and the spread in current increased considerably.

Fig. 9.
figure 9

Measured IV characteristics for several SPD50 diodes before and after anneals at 100°C for 40 h and at 500°C for 30 min, compared to those of a Si PureB diode of size 40 × 40 µm2 processed on a substrate with doping 1015 cm−3, fabricated as described in Ref. 41.

Temperature-dependent measurements from − 50°C to 100°C were also performed on a large number of both SPD and LPD diodes of different sizes, with and without the extra anneals. Richardson plots of ln(I/T2) as a function of 1/T for each measured diode were made from the IV characteristics to extract the bandgaps38 and they all fell in the range 0.66 eV to 0.75 eV but with quite some spread even across neighboring diodes of the same type, and no clear trends were found. This can be related to the presence of small but variable non-ideal currents which become visible in the reverse characteristics of the diodes as seen in Figs. 8 and 9. Nevertheless, it can be concluded that the bandgaps are close to the characteristic Ge values in all cases.

Optical Characterization

The IV characteristics of an SPD26 diode are shown in Fig. 10 for illumination with an output power of 1 mW for each of the lasers. For all the 4 wavelengths, the light-induced current is decades higher than the dark current. In Fig. 11, the extracted responsivity at each wavelength for a number of SPD26, SPD12, LPD26, and LPD12 diodes is plotted and compared to the simulated responsivity. The best correspondence with the simulated values is for the SPD26 device at the short wavelengths of 406 nm and 670 nm. At 1310 nm and 1550 nm, the responsivity of this diode is still significant, but 5–10 times lower than the simulated values. The SPD12 diode has similar values as the SPD26 diode, but the responsivity is repeatedly a little bit lower. Since the light-entrance window on the individual Ge-islands of this composite diode is about the same size as the light spot, there is a reasonable chance that misalignment errors are playing a role. In all cases, the LPD diodes have much lower responsivity than the SPD diodes, about 5 times lower for the LPD26 diodes. However, at 1310 nm and 1550 nm, the discrepancy between the LPD26 and LPD12 diodes becomes so large, more than a decade, that it can no longer be accorded to misalignment errors.

Fig. 10.
figure 10

Measured IV characteristics of an SPD26 diode with and without illumination with a 1-mW output power of the lasers, for wavelengths 406 nm, 670 nm, 1310 nm, and 1550 nm.

Fig. 11.
figure 11

Simulated responsivity (solid line) and extracted absorption depth (dashed line) as a function of wavelength compared to responsivity values extracted from optical measurements of SPD26, SPD12, LPD26, and LPD12 diodes.

In Fig. 12, the responsivity after 100°C and 500°C anneals is plotted for a number of SPD26 diodes. As was the case for the IV characteristics, the 100°C anneal, even though it was performed for tens of hours, had no significant effect. On the other hand, the 500°C 30-min anneal gave a significant degradation of the responsivity at all wavelengths. At 406 nm and 670 nm, there is about a 70% decrease, while the responsivity has all but disappeared at 1310 nm and 1550 nm.

Fig. 12.
figure 12

Responsivity of SPD26 diodes as a function of wavelength, with and without anneals at 100°C for 72 h and 500°C for 30 min.

Discussion

The Ge-island regions affected by the Al-mediated material transport along the Ge-Si interface become less light sensitive for all wavelengths. The responsivity of the SPD diodes in the central anode region that is untouched by the detrimental perimeter effects is almost ideal for the visual wavelengths that are predominantly collected near the Ge surface. In contrast, the responsivity at the SWIR wavelengths is much lower. As was demonstrated via simulations in Ref. 5 , this can be due to defects at the Ge-Si interface that trap the light-generated carriers. Combined with the fact that the Ge-island thickness is about half the absorption length of the near-infrared (NIR) wavelengths, this can account for the low responsivity. Electrically, the presence of the interface defects is seen as a rise in the reverse leakage current when the depletion region transverses the interface. This occurs at about 6 V reverse bias in the present devices.

The Ge-Si interface and the associated crystal defects are also important for the progression of the Al migration. If Al was deposited on a planar crystalline Ge surface, annealing around 400°C would cause localized spiking of the Ge surface with inverted pyramids, a process that normally would stop when the Al is saturated with Ge, as determined by Ge-Al eutectic system.30 In the present devices, the role of Ge saturation is particularly clear after the 500°C anneal, at which temperature the alloying with Ge liquifies the Al tracks. The Al then coalesces into droplets leaving large areas practically cleared of Al, particularly on and near the Ge-islands. The distance over which such Al displacement is seen decreases when the ratio of the total Ge diode area to the area of Al at the contacts decreases. An example is seen in Fig. 5 where images are shown of the tracks leading to SPD26 and SPD5 diodes. From the areas listed in Table I, the ratio is 676/153 = 4.4 for the SPD26 diodes and 400/585 = 0.7 for the SPD5 diodes. This large difference has meant that the most distant pad (pad 6) is only mildly affected for the SPD5 diodes, while it is heavily deranged for SPD26 diodes.

In the present diodes, even the SPDs, the Al dissolved enough Ge to reach the Ge-Si interface, which appears to have enhanced the material transport possibilities, resulting in pitting of the Si. From the TEM images in Fig. 3, it is clear that this pitting does not necessarily occur immediately at the small, ~ 0.1-µm-wide, Al point-of-contact with the Si. Most likely, the pitting is initiated at specific interface defects, perhaps stress-related, that remain after the annealing of the Ge-island at 750°C. The Si pits are filled with Ge that is distinguished by being a darker shade of gray, as indicated in Fig. 3b. These dark gray regions extend to the Ge surface, being bounded by a network of misfit dislocations that suggests an Al-mediated rearrangement of the original crystal structure. The surrounding light gray regions display fewer dislocations. The Si content of these two regions was monitored by EDS, as reported in Ref. 1 ,showing no measurable Si in the light gray regions and low but significant amounts in the dark gray regions. In some places, the Al is also seen to have coalesced into grains that were measured to have only Al content. These grains only appear in connection with pitted Si regions, and plausibly mark the end of the Al migration at the given temperature because they would be saturated with Ge and/or Si.

The EDS analysis did not reveal any Al in the gray Ge regions, but it is safe to assume that there is an Al doping of the affected regions to a level that makes them p-type material. Although we have found no other studies of our particular material-exchange situation, several layer-exchange studies, using a layer stack of amorphous Ge and Al on crystalline Si, suggest that a SixGex−1 alloy would be formed with a tapering off of the Si concentration towards the surface of the Ge crystal.31,32 One paper on Ge/Al layer-exchange at 350°C reports solid-phase-epitaxy (SPE) of Ge doped with Al to as much as 1017 cm−3.33,34

To our knowledge, the literature does not report any values for the active Al dopant concentration in such Ge SPE layers. For Si, studies of layer-exchange Al-doped crystalline Si layers formed at 400°C, using secondary-ion mass spectrometry and electrical measurements, estimated an active doping level in the 1018 cm−3 range43 and with this p-material, p+n-type junctions were formed on n-Si.44 In Ge, a higher active Al doping could be expected due to the very high solid solubilities above 1020 cm−3 at temperatures around 400°C.45 There are also reports in the literature that give reason to believe that there will be an increased solubility of Si in Al due to the presence of Ge, and that the Al liquification temperature may also be lowered due to interactions with both these materials.46,47

The p-doping of the Ge above the Si pits can explain both the electrical and optical behavior of the diodes. While the anneal-induced changes in responsivity were large, the IV characteristics showed only moderate changes. This can be correlated to the increasing shift of the pn Ge junction towards a (p-Ge)-on-(n-Si) junction. For both Ge-Ge and Ge-Si pn junctions on the Si substrate, the electron injection into the Ge, governed by the small Ge bandgap, will remain large. As opposed to this, the hole injection is only slightly reduced when the n-Ge is replaced by n-Si. For Si pn junctions to the n-substrates used here, the current is dominated by hole injection into the substrate, and this is decades lower than the SPD current levels, as can be seen from the Si diode IV characteristic included in Fig. 8. Upon 500°C annealing, the current of the SPD diodes was only lowered by a factor of about 2–5. This spread is perhaps related to the presence of Ge-Si alloys that would give some increase in the p-Ge bandgap, but the extraction of the diode bandgaps was not accurate enough to substantiate something like that.

It is noteworthy that the differences in current levels between the different-sized SPD diodes roughly follow the size of the total Ge-island. Ge-islands that were contacted directly by Al displayed Schottky junction characteristics with 2–3 decades higher saturation currents than the PureGaB counterparts,42 as shown in Fig. 8. Although the Ge-island perimeter in the SPD and LPD diodes was inadvertently contacted directly by Al, the current levels are not higher than what is expected for PureGaB diodes. This suggests that the perimeter remains p-type, either due to sufficient Ga-doping or as a result of the anomalous material exchange processes. When the latter does result in a p-doping of the sidewalls, this would have the advantage of improving the passivation of the interface with the dielectric by moving the depletion across the pn-junction away from interface defects.

The LPD diodes have a 30-min longer 400°C anneal which is seen in Fig. 11 to have a negative impact on the responsivity. There is about a factor 8 decrease in the responsivity for all wavelengths, showing that the central diode regions were also impacted by the material transport for this longer alloy time. However, a difference between the LPD26 and LPD12 diodes is only seen for the NIR wavelengths, for which the LPD12 diodes have about 2 decades lower responsivity, thus all but disappearing. This can be accorded to changes at the interface that reduce NIR responsivity, while the shorter wavelengths that are detected predominantly at the Ge surface are much less affected. This suggests that the migration of Al along the interface progressed much faster than the mechanisms leading to the modified Ge-crystals, alloyed with Si and Al-doped, that span the full Ge thickness.

Since the low NIR responsivity for the SPD and LPD diodes is correlated to the conditions at the Ge-Si interface, in this wavelength range, it is obviously an advantage to have much thicker Ge-islands than the ones studied here. For broadband applications, the Al-induced perimeter effects would then be acceptable in the situation where the Ge-island is large with respect to the affected perimeter width. The 100°C anneals of the SPD diodes were therefore performed to assess whether the perimeter could be expected to degrade under normal linear mode photodiode operation. Neither the IV nor the responsivity showed any significant change even after a total of 72 h annealing. The operation of LPD diodes as APDs, which could cause material migration due to the high electric fields over the Ge junctions, was also studied in Ref.2 . Repeated measurements did not lead to an attenuation of the optoelectronic characteristics being monitored. However, more long-term stability testing would be needed to ascertain the true value of this result.

Poorly-controlled mechanisms, like the Al-mediated material migration described here, should mainly be avoided if only for reliability and reproducibility reasons. For PureGaB Ge-on-Si photodiodes a few microns in size, there is also a trade-off to be considered between fill-factor and series resistance/process complexity. Ideally, the metal contacting should be made as narrow as possible along the anode perimeter region but this is with the risk, documented here, of removing the protective B material barrier at the Ge edge. Increasing reliability by making the B-layer thicker is not always an option because tunneling-layer thickness, i.e., less than about 3 nm, is needed to assure low contact resistance.27 Therefore, the direct Al contacting of the Ge as realized in the SPD diodes could be seen as a viable, low-cost option in some situations, since there is no trade-off in dark current. Another “safe” option would be to deliberately remove the B-layer in the contact windows and, at the cost of increasing process complexity, deposit a new well-defined Ga-B layer stack before the metal deposition.

Conclusions

An anomalous Al-mediated material-transport process was assessed with respect to the applicability of PureGaB Ge-on-Si diodes as broadband photodiodes. The theoretical responsivity found from simulations was also measured for 406 nm and 670 nm light, confirming the high surface sensitivity of the ultrashallow PureGaB Ge diodes. In contrast, the responsivity at 1310 nm and 1550 nm, that could have been achieved with the modest Ge-island thickness of 0.5 µm, was far from being reached due to the proximity of the Ge-Si interface, which introduced a high density of crystal defects in the main light-absorbing region of these wavelengths.

These interfacial defects also played a decisive role in the Al-induced material transport. The B-layer of the PureGaB anode prevented reactions with the Ge, but, in our experiments, at the Ge-island perimeter, the Al was able to reach the Si after which it traveled along the Ge-Si interface where localized Si pits formed. These pits were filled with Ge crystals alloyed with Si and doped with Al. After the standard 30-min 400°C anneal, such pitting was observed up to about 5 µm from the perimeter. Longer/higher temperature treatment in the 400–500°C range aggravated this situation, greatly affecting the NIR and ultimately also extinguishing the visual responsivity. This was found to be due to an increased Al p-doping of the Ge that shifted the pn metallurgic junction from the bulk Ge to the Ge-Si interface.

The NIR responsivity can be increased by growing thicker Ge-islands, something that is generally done. This would also reduce the disadvantage for the responsivity posed by the anomalous p-doping with Al. It is also noteworthy that, after the standard alloying step, the dark currents were low, and they were not degraded by any of the extra heat treatments. In addition, neither the responsivity nor the dark current changed after prolonged annealing at 100°C, which represents a maximum for temperatures that may occur during normal device operation. Therefore, for some PureGaB photodiode configurations, the direct Al contacting of Ge-island sidewalls, may be a low-cost method of achieving both low contact resistance to the anode, p-type sidewall passivation, and a maximal fill-factor.