Skip to main content
Log in

Next-generation lithography for 22 and 16 nm technology nodes and beyond

  • Special Issue
  • Published:
Science China Information Sciences Aims and scope Submit manuscript

Abstract

In this paper, next-generation lithography (NGL) for the 22 and 16 nm technology nodes and beyond is reviewed. A broad range of topics, including history, technologies, critical challenges, and the most plausible candidates are discussed. The 22 and 16 nm technology nodes rely on NGL. NGLs have been extensively studied. Because of technological issues, the semiconductor industry has stopped pursuing several NGLs, such as X-ray proximity lithography, ion projection lithography, and scattering with angular limitation projection electron lithography. Currently, the primary candidate technologies are extreme ultraviolet lithography (EUVL), maskless lithography (ML2), and nanoimprint lithography (NIL), with EUVL being the leading candidate. Since EUVL was first proposed in 1988, many studies have been conducted. Currently, there is no “show stopper” for EUVL. Moreover, challenges are present in almost all aspects of EUVL technology. Almost all primary semiconductor manufacturing companies plan to implement commercial pre-production step-and-scan exposure tools in 2011. However, EUVL power at an intermediate focusing level has not yet met the volume manufacturing requirements. EUVL resists have been significantly improved recently, but there is still a critical need to meet requirements on resolution, line width roughness, and sensitivity. Creating a defect-free EUVL mask is another obstacle to the application of EUVL. ML2 and NIL, like EUVL, have also undergone significant progress recently, but throughput, defect control, and cost remain the critical impediments for practical application.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Similar content being viewed by others

References

  1. Hawryluk A M, Seppala L G. Soft-X-ray projection lithography using an X-ray reduction camera. J Vac Sci Tech B, 1988, 6: 2162–2166

    Article  Google Scholar 

  2. Kinoshita H, Kuirhara K, Ishii Y, et al. Soft-X-ray reduction lithography using multilayer mirrors. J Vac Sci Tech B, 1989, 7: 1648–1651

    Article  Google Scholar 

  3. Bjorkholm J E, Bokor J, Eichner L, et al. Reduction imaging at 14 nm using multilayer-coated optics—printing of features smaller than 0.1-mu-m. J Vac Sci Tech B, 1990, 8: 1509–1513

    Article  Google Scholar 

  4. Wu B, Kumar A. Extreme Ultraviolet Lithography. New York: McGraw-Hill, 2009

    Google Scholar 

  5. Bakshi V. EUV Lithography. Bellingham, WA: SPIE Press, 2008

    Book  Google Scholar 

  6. Wu B, Kumar A. Extreme ultraviolet lithography: A review. J Vac Sci Tech B, 2007, 25: 1743–1761

    Article  Google Scholar 

  7. Wu B, Kumar A. Extreme ultraviolet lithography: towards the next generation of integrated circuits. Opt Photon Focus, 2009, 7: 4

    Google Scholar 

  8. Silverman P. Insertion of EUVL into high-volume manufacturing. In: Proc. SPIE 4343, 2001. 12–18

  9. Tichenor D, Ray-Chaudhuri A K, Replogle W C, et al. System integration and performance of the EUV engeering test stand. In: Proc. SPIE 4343, 2001. 19–37

  10. Tichenor D A, Ray-Chaudhuri A K, Lee S H, et al. Initial result from the EUV engeering test stand. In: Proc. SPIE 4506, 2001. 9–18

  11. Chapman H N, Ray-Chaudhuri A K, Tichenor D A, et al. First lithographic results from the extreme ultraviolet engineering test stand. J Vac Sci Tech B, 2001, 19: 2389–2395

    Article  Google Scholar 

  12. Tichenor D A, Kubiak G D, Replogle W C, et al. EUV engineering test stand. In: Proc. SPIE 3997, 2000. 48–69

  13. Meiling H, Benschop J, Dinger U, et al. Progress of the EUVL alpha tool. In: Proc. SPIE 4343, 2001. 38–50

  14. Meining H, Meijer H, Banine V, et al. First performance result of the ASML alpha demo tool. In: Proc. SPIE 6151, 2006. 615108

  15. Ota K, Murakami K, Kondo H, et al. Feasibility study of EUV scanners. In: Proc. SPIE 4343, 2001. 60–69

  16. Miura T, Murakami K, Suzuki K, et al. Nikon EUVL development progress summary. In: Proc. SPIE 6151, 2006. 615105

  17. Brunton A, Cashmore J, Elbourn P, et al. High-resolution EUV microstepper tool for resist testing and technology evaluation. In: Proc. SPIE 5374, 2004. 869–880

  18. Banine V, Moors R. Plasma sources for EUV lithography exposure tools. J Phys D: Appl Phys, 2004, 37: 3207–3212

    Article  Google Scholar 

  19. Krucken T, Bergmann K, Juschkin L, et al. Fundament and limits for the EUV emission of pinch plasma sources for EUV lithography. J Phys D: Appl Phys, 2004, 37: 3213–3224

    Article  Google Scholar 

  20. Fahy K, Kunne P, McKinney L, et al. UTA versus line emission for EUVL: study on oxygen emission at the NIST EBTI. J Phys D: Appl Phys, 2004, 37: 3225–3332

    Article  Google Scholar 

  21. Hansson B A M, Hertz H. Liquid-jet laser-plasma extreme ultraviolet sources: from droplets to fialments. J Phys D: Appl Phys, 2004, 37: 3233–3243

    Article  Google Scholar 

  22. Stamm U. Extreme ultraviolet light sources for use in semiconductor lithography-state of the art and future developmen. J Phys D: Appl Phys, 2004, 37: 3244–3253

    Article  Google Scholar 

  23. Borisov V M, Eltsov A V, Ivanov A S, et al. EUV sources using Xe and Sn discharge plasma. J Phys D: Appl Phys, 2004, 37: 3254–3265

    Article  Google Scholar 

  24. Fomenko I V, Bowering N, Rettig C L, et al. EUV discharge light source based on a dense plasma focus with positive and negative polarity. J Phys D: Appl Phys, 2004, 37: 3266–3276

    Article  Google Scholar 

  25. McGeoch M W. Pinch plasma EUV source with particle injection. J Phys D: Appl Phys, 2004, 37: 3277–3284

    Article  Google Scholar 

  26. Hansson B A M, Fomenkov I V, Bowering N R, et al. LPP EUV source development for HVM. In: Proc. SPIE 6151, 2006. 61510R

  27. Teramoto Y, Niimi G, Yamatani D, et al. Development of Xe- and Sn-fueled high-power Z-pinch EUV source aiming at HVM. In: Proc. SPIE 6151, 2006. 615147

  28. Hansson B A M, Rymell L, Berglund M, et al. Liquid-xenon-jet laser-plasma source for EUV lithography. In: Proc. SPIE 4506, 2001

  29. de Bruijn R, Koshelev K, Kooijman G F, et al. Absorption of EUV in laser plasmas generated on xenon gas jets. J Quant Spectrosc Radiat Transf, 2003, 81: 97

    Article  Google Scholar 

  30. Endo A, Hoshino H, Ariga T, et al. Development of short pulse and high power CO2 laser for EUV lithography. In: Proc. SPIE 5918, 2005. 591801

  31. Owens S M. Compact EUV light sources for at-wavelength metrology. In: Proc. SPIE 5918, 2005. 591807

  32. Borisov V M, Ahmad E, Goetze S, et al. Development of high-power EUV sources for lithography. In: Proc. SPIE 4688, 2002. 626–633

  33. Fomenkov I V, Partlo W N, Ness R M, et al. Optimization of a dense plasma focus device as a light source for EUV lithography. In: Proc. SPIE 4688, 2002. 634–647

  34. Robert E, Gonthiez T, Sarroukh O, et al. CAPELLA: a kHz and low-debris capillary discharge EUV source. In: Proc. SPIE 4688, 2002. 672–679

  35. Pankert J, Bergmann K, Klein J, et al. Physical properties of the HCT EUV source. In: Proc. SPIE 5037, 2003. 112–118

  36. Stamm U, Hama I, Balogh I, et al. High-power EUV lithography sources based on gas discharges and laser-produced plasmas. In: Proc. SPIE 5037, 2003. 119–129

  37. McGeoch M W, Pike C T. Star pinch scalable EUV source. In: Proc. SPIE 5037, 2003. 141–146

  38. Lebert R, Bergmann K, Juschkin L, et al. Comparison of different source concepts for EUVL. In: Proc. SPIE 4343, 2001. 215–225

  39. Stamm U, Kleinschmidt J, Gabel K, et al. EUV sources for EUV lithography in alpha-, beta-, and high volume chip manufacturing: an update on GDPP and LPP technology. In: Proc. SPIE 5751, 2005. 236–247

  40. Stamm U, Kleinschmidt J, Bolshukhin D, et al. Development status of EUV sources for use in beta-tools and high-volume chip manufacturing tools. In: Proc. SPIE 6151, 2006. 61510O

  41. Stamm U, Yoshioka M, Kleinschmidt J, et al. EUV source development for high-volume chip manufacturing tools. In: Proc. SPIE 6517, 2007. 65170P

  42. Corthout M, Yoshioka M, et al. Sn DPP SoCoMo integration. In: Presentation on International EUVL Symposium, Prague, Czech Republic, 2009. 19–21

  43. Wakana K. Future of high power EUV source. In: International Workshop on EUVL, Maui, Hawaii, USA, 2010. EUV Litho, Inc., Austin, TX, USA.

    Google Scholar 

  44. Bajt S, Alamceda J, Barbee T Jr, et al. Improved reflectance and stability of Mo/Si multilayers. In: Proc. SPIE 4506, 2001. 65–75

  45. Kleineberg U, Westerwalbesloh T, Wehmeyer O, et al. Bufferlayer and caplayer engineering of Mo/Si EUVL multilayer mirrors. In: Proc. SPIE 4506, 2001. 113–120

  46. Smith B W, Venkataraman P, Kurinec S K, et al. Materials for reflective multilayer coatings for EUV wavelengths. In: Proc. SPIE 3331, 1998. 544–554

  47. Nguyen T D, Khan-Malek C, Underwood J H. Achievement of low stress in Mo/Si multilayer mirrors. In: OSA Proceedings of Extreme Ultraviolet Lithography 23, 1994. 56

    Google Scholar 

  48. Louis E, Yakshin A E, Zoethout E, et al. Enhanced performance of EUV multilayer coatings. In: Proc. SPIE 5900, 2005. 590002

  49. Murakami K, Shiraisi M. Stress control of Mo/Si-based multilayer coatings deposited by ion-beam sputtering. In: Proc. SPIE 4506, 2001. 56–64

  50. Shiraishi M, Ishiyama W, Oshino T, et al. Stress reduction of molybdenum/silicon multilayers deposited by ion-beam sputtering. In: Proc. SPIE 3997, 2000. 620–627

  51. Takenaka H, Kawamura T, Ishii Y, et al. Evaluation of Mo-based multilayer EUV mirrors. In: OSA Proceedings of Extreme Ultraviolet Lithography 23, 1994. 26

  52. Feigl T, Yulin S, Kaiser N, et al. Magnetron sputtered EUV mirrors with high-thermal stability. In: Proc. SPIE 3997, 2000. 420

  53. Underwood J H, Gullikson E M, Ng W, et al. Effect of contamination and oxide layers on scattering and reflectivity of multilayer mirrors. In: OSA Proceedings of Extreme Ultraviolet Lithography 23, 1994. 61

  54. Over H, He Y B, Farkas A, et al. Long-term stability of Ru-based protection layers in extreme ultraviolet lithography: A surface science approach. J Vac Sci Technol B, 2007, 25: 1123–1138

    Article  Google Scholar 

  55. Mirkarimi P B, Spiller E, Baker S L, et al. Developing a viable multilayer coating process for extreme ultraviolet lithography reticles. J Microlith Microfab Microsyst, 2004, 3: 139

    Article  Google Scholar 

  56. Gullikson E M, Cejan C, Stearns D G, et al. Practical approach for modeling extreme ultraviolet lithography mask defects. J Vac Sci Technol B, 2001, 20: 81–86

    Article  Google Scholar 

  57. Pistor T, Deng Y, Neureuther A. Extreme ultraviolet mask defect simulation: Low-profile defects. J Vac Sci Technol B, 2000, 18: 2926–2929

    Article  Google Scholar 

  58. Ballard W P, Tichenor K A, O’Connell D J, et al. System and process learning in a full-field, high-power EUVL alpha tool. In: Proc. SPIE 5037, 2003. 47–57

  59. Anderson R J, Buchenauer D A, Williams K A, et al. Investigation of plasma-induced erosion of multilayer condenser optics. In: Proc. SPIE 5751, 2005. 128–139

  60. Meiling H, Banine V, Kurz P, et al. Progress in the ASML EUV program. In: Proc. SPIE 5374, 2004. 31–42

  61. Allain J P, Nieto M, Hassanein A, et al. Effect of charged-particle bombardment on collector mirror reflectivity in EUV lithography devices. In: Proc. SPIE 6151, 2006. 615131

  62. Kanouff M P, Ray-Chaudhuri A K. Gas curtain for mitigating hydrocarbon contamination of EUV lithographic optical components. In: Proc. SPIE 3676, 1999. 735–742

  63. Bowering N R, Ershov A I, Marx W F, et al. EUV source collector. In: Proc. SPIE 6151, 2006. 61513R

  64. O’Connell D J, Lee S H, Ballard W P, et al. Lithographic characterization of improved projection optics in the EUVL engineering test stand. In: Proc. SPIE 5037, 2003. 83–94

  65. Krautschik C, Chandhok M, Zhang G, et al. Implementing flare compensation for EUV masks through localized mask CD resizing. In: Proc. SPIE 5037, 2003. 58–68

  66. Mertens B, Wolschrijn B, Jansen R, et al. EUV time-resolved studies on carbon growth and cleaning. In: Proc. SPIE 5037, 2003. 95–102

  67. Kurt R, van Beek M, Crombeen C, et al. Radiation-induced carbon contamination of optics. In: Proc. SPIE 4688, 2002. 702–709

  68. Graham S, Malinowski M E, Steinhaus C E, et al. Studies of EUV contamination mitigation. In: Proc. SPIE 4688, 2002. 431–441

  69. Malinowski M, Grunow P, Steinhaus C, et al. Use of molecular oxygen to reduce EUV-induced carbon contamination of optics. In: Proc. SPIE 4343, 2001. 347–356

  70. Jouve A, Simon J, Pikon A, et al. Overcoming pattern collapse on e-beam and EUV lithography. In: Proc. SPIE 6153, 2006. 61531C

  71. Tanaka K, Naito R, Kitada T, et al. Improvement of pattern collapse issue by additive-added D.I. water rinse process. In: Proc. SPIE 5039, 2003. 1366–1381

  72. Yoshimoto K, Stoykovich P, Cao H B, et al. A two-dimensional model of the deformation of photoresist structures using elastoplastic polymer properties. J Appl Phys, 2004, 96: 1857–1865

    Article  Google Scholar 

  73. Tardif F, Raccurt O, Barbe J C, et al. Mechanical resistance of fine microstructures related to particle cleaning mechanisms. In: Proceedings of the International Symposium on Cleaning Technology in Semiconductor Device Manufacturing VIII, Orlando, FL, USA, 2003. 153–160

  74. Jung M H, Kim H W, Hong J, et al. Performance of a SSQ-type ArF bilayer resist in 80-nm node DRAM line and space fabrication. In: Proc. SPIE 5376, 2004. 1100–1106

  75. Goldfarb D L, de Pablo J J, Nealey P F, et al. Aqueous-based photoresist drying using supercritical carbon dioxide to prevent pattern collapse. J Vac Sci Technol B, 2000, 18: 3313–3317

    Article  Google Scholar 

  76. Namatsu H. New supercritical resist dryer. In: Proc. SPIE 4688, 2002. 888–895

  77. Matz P D, Reidy R F. Supercritical CO2 applications in BEOL cleaning. Solid State Phenom, 2005, 103–104: 315–322

    Article  Google Scholar 

  78. Cao H B, Nealey P F. Comparison of resist collapse properties for deep ultraviolet and 193 nm resist platforms. J Vac Sci Technol B, 2000, 18: 3303–3307

    Article  Google Scholar 

  79. Zhang P, Jaramillo M Jr, King D J, et al. Surface conditioning solutions for pattern collapse reduction. In: Proc. SPIE 5376, 2004. 807–812

  80. Junarsa I, Stoykovich M P, Yoshimoto K, et al. The use of surfactant in the rinse to improve collapse behavior of chemically amplified photoresists. In: Proc. SPIE 5376, 2004. 842–849

  81. Peters R, Parker C, Cobb J, et al. Single-layer and bilayer resist processes for EUV-type integrations. In: Proc. SPIE 5376, 2005. 746

  82. Cao H, Yueh W, Rice B, et al. Sources of line-width roughness for EUV resists. In: Proc. SPIE 5376, 2005. 757

  83. Hutchinson J M. Shot-noise impact on resist roughness in EUV lithography. In: Proc. SPIE 3331, 1998. 531–536

  84. Brainard R, Trefonas P, Lammers J H, et al. Shot noise, LER, and quantum efficiency of EUV photoresists. In: Proc. SPIE 5374, 2004. 74–85

  85. Masuda S, Kamimura S, Hirano S, et al. The resist materials study for the outgassing reduction and LWR improvement in EUV lithography. In: Proc. SPIE 6519, 2007. 65191O

  86. Bravo-Vasquez J P, Kwark Y J, Ober C K, et al. Inorganic polymer resists for EUVL. In: Proc. SPIE 5753, 2005. 732–737

  87. Dai J, Ober C K. Novel resists with nontraditional compositions for EUV lithography. In: Proc. SPIE 5376, 2005. 508

  88. Bravo-Vasquez J P, Kwark Y J, Ober C K, et al. Silicon backbone polymers as EUV resists. In: Proc. SPIE 5376, 2005. 739

  89. Matsuzawa N, Oizumi H, Mori S, et al. Theoretical estimation on the balance between the absorption coefficient and etching resistance of various polymers at 13 nm. In: First EUVL Workshop, Monterey, CA, USA, 1999

  90. De Silva A, Forman D, Ober C K. Molecular glass resists for EUV lithography. In: Proc. SPIE 6153, 2006. 615341

  91. Dai J, Ober C K, Wang L, et al. Organoelement resists for EUV lithography. In: Proc. SPIE 4690, 2002. 1193–1202

  92. Dai J, Ober C K, Kim S O, et al. Synthesis and evaluation of novel organoelement resists for EUV lithography. In: Proc. SPIE 5039, 2003. 1164–1172

  93. Dentinger P, cardinale G, henderson C, et al. Photoresist film thickness for extreme ultraviolet lithography. In: Proc. SPIE 3997, 2000. 588–599

  94. Henderson C, Wheeler D, Pollagi T, et al. Top-surface imaging resists for EUV lithography. In: Proc. SPIE 3331, 1998. 32–40

  95. Lawson R A, Lee C T, Yueh W, et al. Single molecule chemically amplified resists based on ionic and non-ionic PAGs. In: Proc. SPIE 6923, 2008. 69230K

  96. Wagner C, Harned N, Kuerz P, et al. EUV into production with ASML’s NXE platform. In: Proc. SPIE 7636, 2010. 67361H

  97. Koha C, Georger J, Ren L, et al. Characterization of promising resist platforms for sub-30-nm HP manufacturability and EUV CAR extendibility study. In: Proc. SPIE 7636, 2010. 763604

  98. Kawata M, Takada A, Hayashi H, et al. Novel low thermal expansion material for EUV application. In: Proc. SPIE 6151, 2006. 61511A

  99. Rosch W, Beall L, Maxon J, et al. Characterization of striae in ULE for EUVL optics and masks. In: Proc. SPIE 6151, 2006. 615122

  100. Seidel P. Commercial EUV mask blank readiness for 32 nm HP manufacturing. In: Proc. SPIE 6607, 2007. 66070I

  101. Chiba A, Sugawara M, Nishiyama I. Estimation-EUV mask flatness for allowable pattern shift. In: Proc. SPIE 5037, 2003. 841–849

  102. Liang T, Tejnil E, Stivers A. Pattern inspection of EUV masks using DUV light. In: Proc. SPIE 4889, 2002. 1065–1072

  103. Liang T, Stivers A, Yan P Y, et al. Enhanced optical inspectability of patterned EUVL mask. In: Proc. SPIE 4562, 2002. 288–296

  104. Tejnil E, Gullikson E, Stivers A R. Characterization of defect detection sensitivity in inspection of mask substrates and blanks for extreme-ultraviolet lithography. In: Proc. SPIE 5567, 2004. 943–952

  105. Jeong S, Lin Y, Johnson L, et al. At-wavelength detection of extreme ultraviolet lithography mask blank defects. J Vac Sci Technol B, 1998, 16: 3430–3434

    Article  Google Scholar 

  106. Jeong S, Johnson L, Rekawa S, et al. Actinic detection of sub-100 nm defects on extreme ultraviolet lithography mask blanks. J Vac Sci Technol B, 1999, 17: 3009–3013

    Article  Google Scholar 

  107. Tejnil E, Stivers A. Options for at-wavelength inspection of patterned extreme ultraviolet lithography masks. In: Proc. SPIE 3873, 1999. 792–803

  108. Haga T, Takenaka H, Fukuda M. At-wavelength extreme ultraviolet lithography mask inspection using a Mirau interferometric microscope. J Vac Sci Technol B, 2000, 18: 2916–2920

    Article  Google Scholar 

  109. Kim S S, Par J, Chalykh R, et al. Defect inspection of EUV mask blank using confocal microscopy: simulation and experiment. In: Proc. SPIE 6151, 2006. 61511C

  110. Stivers A, Liang T, Penn M, et al. Evaluation of the capability of a multibeam confocal inspection system for inspection of EUVL mask blanks. In: Proc. SPIE 4889, 2002. 408–417

  111. Urbach J P, Cavelaars J, Kusunose H, et al. EUV substrate and blank inspection with confocal microscopy. In: Proc. SPIE 5256, 2003. 556–565

  112. Tomie T, Erasawa T, Tezuka Y, et al. Concept of ultra-fast at-wavelength inspection of defects on a multilayer mask using a laser-produced plasma source. In: Proc. SPIE 5038, 2003. 41–48

  113. Tezuka Y, Ito M, Terasawa T, et al. Design and development of a novel actinic inspection tool for EUV multilayer-coated mask blanks. In: Proc. SPIE 5038, 2003. 866–877

  114. Kleineberg U, Lin J, Neuhaeusler U, et al. Actinic EUVL mask blank defect inspection by EUV photoelectron microscopy. In: Proc. SPIE 6151, 2006. 615120

  115. Tezuka Y, Ito M, Terasawa T, et al. Actinic detection of multilayer defects on EUV mask blanks using LPP light source and dark-field imaging. In: Proc. SPIE 5374, 2004. 271–280

  116. Tezuka Y, Ito M, Terasawa T, et al. Phase-shift mask for EUV lithography. In: Proc. SPIE 5567, 2004. 791–799

  117. Constancias C, Richard M, Joyeux D, et al. Phase-shift mask for EUV lithography. In: Proc. SPIE 6151, 2006. 61511W

  118. Kim S S, Chalyck R, Woo S G, et al. Defect printability and defect inspection simulations of patterned EUVL mask using rigorous coupled-wave analysis. In: Proc. SPIE 5751, 2005. 678–686

  119. Klebanoff L E, Rader D J. US Patent 6153044, 2000

  120. Klebanoff L E, Rader D J. US Patent 6253464, 2001

  121. Rader D J, Dedrick D E, Beyer E W, et al. Verification studies of thermophoretic protection for EUV masks. In: Proc. SPIE 4688, 2002. 182–193

  122. Dedrick D E, Beyer E W, Rader D J, et al. Verification studies of thermophoretic protection for extreme ultraviolet masks. J Vac Sci Technol B, 2005, 23: 307–317

    Article  Google Scholar 

  123. Du Y, Choi C J, Zhang G, et al. TaN-based EUV mask absorber etch study. In: Proc. SPIE 6283, 2006. 62833D

  124. Seitz H, Renno M, Leubecher T, et al. EUVL mask blanks: Recent results on substrates, multilayers and the dry-etch process of TaN-absorbers. In: Proc. SPIE 6151, 2006. 615109

  125. Lee K M, Tavassoli M, Stivers A, et al. Magnetron reactive sputtering of TaN and TaON films for EUV mask applications. In: Proc. SPIE 5992, 2005. 59922B

  126. Letzkus F, Butschke J, Irmscher M, et al. EUVL mask manufacturing: technologies and results. In: Proc. SPIE 5992, 2005. 59922A

  127. Hosoya M, Shoki T, Kinoshita T, et al. Study on exposure contrast of an EUV mask. In: Proc. SPIE 5130, 2003. 1026–1034

  128. Yan P Y, Zhang G, Nagpal R, et al. EUVL mask patterning with blanks from commercial suppliers. In: Proc. SPIE 5567, 2004. 774–780

  129. Abe T, Fujii A, Sasaki S, et al. Process development for EUV mask production. In: Proc. SPIE 6439, 2006. 64393G

  130. Abe T, Fujii A, Mohri H, et al. EUV mask development status at ASET and DNP. In: Proc. SPIE 6283, 2006. 62830H

  131. Tamura S, Kanayama K, Nishiyama Y, et al. Optimization of TaSix absorber stack for EUV mask. In: Proc. SPIE 6283, 2006. 62830J

  132. Lee B T, Hoshino E, Takahashi M, et al. Characteristics of the Ru buffer layer for EUVL mask patterning. In: Proc. SPIE 4343, 2001. 746–753

  133. Chan D Y. US Patent 6472107, 2002

  134. Wu B. Thermodynamic study of photomask plasma etching. In: Proc. SPIE 5567, 2004. 1195

  135. Wu B. Photomask plasma etching: A review. J Vac Sci Technol B, 2006, 24: 1

    Article  MATH  Google Scholar 

  136. Wu B. US Patent 7,771,894, 2010

  137. Wu B, Chandrachood M R, Kumar A. US Patent, 7,771,895, 2010

  138. Wu B, Kumar A. Plasma etch method for extreme ultraviolet lithography photomask. Appl Phys Lett, 2007, 90: 063105

    Article  Google Scholar 

  139. Chakarian R, Raymond F, Sauer C, et al. System architecture choices for an advanced mask writer (100 to 130 nm). In: Proc. SPIE 3873, 1999. 223–242

  140. Chen J J H, Lin S J, Fang T Y, et al. Multiple electron beam maskless lithography for high-volume manufacturing. In: International Symposium on VLSI Technology, Systems, and Applications, Hsinchu, Taiwan, 2009. 96–97

  141. Klein C, Klikovits J, Szikszai L, et al. 50 keV electron-beam projection maskless lithography (PML2): results obtained with 2,500 programmable 12.5-nm sized beams. In: Proc. SPIE 7637, 2010. 76370B

  142. Su M S, Tsai KY, Lu Y C, et al. Architecture for next-generation massively parallel maskless lithography system (MPML2). In: Proc. SPIE 7637, 2010. 76371Q

  143. Lin S J, Wang W C, Chen P S, et al. Characteristics performance of production-worthy multiple e-beam maskless lithography. In: Proc. SPIE 7637, 2010. 763717

  144. Wieland M J, de Boer G, ten Berge G F, et al. MAPPER: high-throughput maskless lithography. In: Proc. SPIE 7637, 2010. 76370F

  145. Platzgummer E. Maskless lithography and nanopatterning with electron and ion multibeam projection. In: Proc. SPIE 7637, 2010. 763703

  146. Slot E, Wieland M J, de Boer G, et al. MAPPER: high throughput maskless lithography. In: Proc. SPIE 6921, 2008. 69211P

  147. Kuiper V, Kampherbeek B J, Wieland M J, et al. Mapper: high throughput maskless lithography. In: Proc. SPIE 7470, 2009. 74700Q

  148. Wieland M J, de Boer G, ten Berge G F, et al. MAPPER: high-throughput maskless lithography. In: Proc. SPIE 7271, 2009. 72710O

  149. Yoon H, Cho H S, Suh K Y, et al. Step-and-repeat process for thermal nanoimprint lithography. Nanotechnology, 2010, 21: 105302

    Article  Google Scholar 

  150. Wu C C, Hsu S L C. Thermo-curable epoxy systems for nanoimprint lithography. J Micromech Microeng, 2010, 20: 015006

    Article  Google Scholar 

  151. Brooks C, Schmid G M, Miller M, et al. Step and flash imprint lithography for manufacturing patterned media. In: Proc. SPIE 7271, 2009. 72711L

  152. Houle F A, Fornof A, Miller DC, et al. Chemical and mechanical properties of UV-cured nanoimprint resists and release layer interactions. In: Proc. SPIE 6921, 2008. 69210B

  153. Ogawa T, Takei S, Jacobsson B M, et al. Planarizing material for reverse-tone step and flash imprint lithography. In: Proc. SPIE 7637, 2010. 763708

  154. Malloy M, Litt L C. Step and flash imprint lithography for semiconductor high volume manufacturing. In: Proc. SPIE 7637, 2010. 763706

  155. Sasaki S, Hiraka T, Mizuochi J, et al. UV-NIL template making and imprint evaluation. In: Proc. SPIE 7271, 2009. 72711M

  156. Resnick D J, haase G, Sing L, et al. Inspection of imprint lithography patterns for semiconductor and patterned media. In: Proc. SPIE 7637, 2010. 76370R

  157. Selinidis K, Thompson E, McMackin I, et al. High-resolution defect inspection of step-and-flash imprint lithography for 32-nm half-pitch patterning. In: Proc. SPIE 7271, 2009. 72711W

  158. Yoneda I, Mikami S, Ota T, et al. Study of nanoimprint lithography for applications toward 22nm node CMOS devices. In: Proc. SPIE 6921, 2008. 692104

  159. Lin M W, Hellebusch D J, Wu K, et al. Interfacial adhesion studies for step and flash imprint lithography. In: Proc. SPIE 6921, 2008. 69210E

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Banqiu Wu.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Wu, B. Next-generation lithography for 22 and 16 nm technology nodes and beyond. Sci. China Inf. Sci. 54, 959–979 (2011). https://doi.org/10.1007/s11432-011-4227-6

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11432-011-4227-6

Keywords

Navigation