Skip to main content
Log in

Scan Test Response Compaction Combined with Diagnosis Capabilities

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

As today’s process technologies are combined with ever increasing design sizes, the result is a dramatic increase in the number of scan test vectors that must be applied during manufacturing test. The increased chip complexities, in combination with the smaller feature sizes, require that we now address defect mechanisms that safely could be more or less ignored in earlier technologies. Scan based delay fault testing (AC-scan) enhances defect coverage as it addresses the dynamic behavior of the circuit under test. Unfortunately, the growing number of scan test vectors may in turn result in costly tester reloads and unacceptable test application times. In this paper, we devise a new scan test response compaction scheme based on finite memory compaction (a class of compactors originally proposed in Rajski et al., Convolutional compaction of test responses, 2003). Our scheme is diagnosis friendly, which is important when it comes to maintain throughput on the test floor (Leininger et al., Compression mode diagnosis enables high volume monitoring diagnosis flow, 2005; Stanojevic et al., Enabling yield analysis with X-compact, 2005). Yet, the compactor has comparable performance to other schemes (Mitra et al., X-compact: an efficient response compaction technique, 2004; Mitra S et al., X-tolerant test response compaction, 2005; Rajski et al., Convolutional compaction of test responses, 2003) when it comes to ‘X’ tolerance and aliasing.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8

Similar content being viewed by others

Notes

  1. Our experience is that by following standard DFT rules (e.g. full-scan, shadow multiplexers behind memory blocks, no tri-state busses), ‘X’ densities in the range 0.001% to 0.005% are typically observed. In our design flow we use a in-house utility called ‘Xtract’ to analyse the ‘X’ contents in scan test response data. See also [15], where the authors characterize an ‘X’ density of 0.15% as “poor” and an ‘X’ density of 0.02% as “fair”.

References

  1. Bardell PH et al (1982) Self-testing of multi-chip logic modules. In: Proceedings of the International Test Conference, pp 200–204

  2. Barnhart C et al (2002) Extending OPMISR beyond 10x scan test efficiency. In: IEEE Design & Test of Computers, pp 65–73, Sept/Oct

  3. Cheng WT et al (2004) Compactor independent direct diagnosis. In: Proceedings of the 13th Asian Test Symposium, pp 204–209

  4. Clouqueur T et al (2005) Design and analysis of multiple weight linear compactors of responses containing unknown values. In: Proceedings of the International Test Conference, pp 42.2

  5. Gu X et al (2004) Realizing high test quality goals with smart test resource usage. In: Proceedings of the International Test Conference, 2004, pp 525–533

  6. Hawkins C et al (2003) Parametric timing failures and defect-based testing in nanotechnology CMOS digital ICs. In: Proceedings of the 11th Annual NASA Symposium on VLSI Design

  7. Jahangiri J et al (2005) Value-added defect testing techniques. In: IEEE Design & Test of Computers, pp 224–231, May/June

  8. Keller B et al (2004) An economic analysis and ROI model for nanometer test. In: Proceedings of the International Test Conference, pp 518–524

  9. Koenemann B (1991) LFSR-coded test patterns for scan designs. In: Proceedings of the European Test Conference, pp 237–242

  10. Krishna CC et al (2001) Test vector encoding using partial LFSR reseeding. In: Proceedings of the International Test Conference, pp 885–893

  11. Leininger A et al (2005) Compression mode diagnosis enables high volume monitoring diagnosis flow. In: Proceedings of the International Test Conference, pp 7.3

  12. Li L et al (2003) Test data compression using dictionaries with fixed-length indices. In: Proceedings of the 21st IEEE VLSI Test Symposium, pp 219–224

  13. Madge R, Benware BR (2003) Obtaining high defect coverage for frequency-dependent defects in complex ASICs. In: IEEE Design & Test of Computers, pp 46–53, Sept./Oct

  14. Mitra S et al (2004) X-compact: an efficient response compaction technique. IEEE Trans Comput-Aided Des Integr Circuits Syst 23:421–432, March

    Article  Google Scholar 

  15. Mitra S et al (2005) X-tolerant test response compaction. In: IEEE Design & Test of Computers, pp 566–574, Nov/Dec

  16. Needham W et al (1998) High volume microprocessor test escapes, an analysis of defects our tests are missing. In: Proceedings of the International Test Conference, pp 25–34

  17. Nigh P, Gattiker A (2000) Test method evaluation experiments & data. In: Proceedings of the International Test Conference, pp 454–463

  18. Rajski J et al (2002) Embedded deterministic test for low cost manufacturing test. In: Proceedings of the International Test Conference, pp 301–310

  19. Rajski J et al (2003) Convolutional compaction of test responses. In: Proceedings of the International Test Conference, pp 745–754

  20. Saxena J et al (2002) Scan-based transition fault testing—implementation and low cost test challenges. In: Proceedings of the International Test Conference, pp 1120–1129

  21. Sharma M et al (2005) X-filter: filtering unknowns from compacted test responses. In: Proceedings of the International Test Conference, pp 42.1

  22. Sinanoglu O et al (2002) Efficient construction of aliasing-free compaction circuitry. In: IEEE Micro, pp 2–13, Sept–Oct

  23. Sinanoglu O et al (2003) Parity-based output compaction for core-based SOCs. In: Proceedings of the European Test Workshop, pp 15–22

  24. Stanojevic Z et al (2005) Enabling yield analysis with X-compact. In: Proceedings of the International Test Conference, pp 30.1

  25. Tang H et al (2005) On efficient X-handling using a selective compaction scheme to achieve high test response compaction ratios. In: Proceedings of the 18th International Conference on VLSI Design

  26. Vermeulen B et al (2004) Trends in testing integrated circuits. In: Proceedings of the International Test Conference, pp 688–697

  27. Wang L-T et al (2004) VirtualScan: a new compressed scan technology for test cost reduction. In: Proceedings of the International Test Conference, pp 916–925

  28. Wichlund S et al (2006) Reducing scan test data volume and time: a diagnosis friendly finite memory compactor. In: Proceedings of the 15th Asian Test Symposium

  29. Wohl P et al (2003) X-tolerant compression and application of scan-ATPG patterns in a BIST architecture. In: Proceedings of the International Test Conference, pp 727–736

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Sverre Wichlund.

Additional information

Responsible Editor: N. A. Touba

Appendix

Appendix

1.1 Proofs

Proof sketches for propositions 1–6 are presented below. Some of the proofs are based on induction, given the recursive nature of the design as shown in Fig. 3.

Proof of proposition 1

A single error appearing on an odd numbered input will appear on just one output of any bottommost building block in the main tree, see Fig. 2. From this output it will propagate to an output of an aux tree and/or the main tree.

A single error on an even numbered input will appear on both outputs on a bottommost building block in the main tree. However these outputs will never cancel since the only fan-out is within a building block, and the two outputs of a building block will never reconverge.

From the structure of the main tree, it is obvious that the error will appear on the Y and Y′ outputs within the next t cycles as well.

Proof of proposition 2

It is easily shown that this proposition holds for N = 8 (t = 3). Assume that the proposition holds for N = 2t where t > 3. Then it must hold for N = 2(t+1) as well; if both errors appear on the inputs of one of the N-compactors in Fig. 3, then by the assumption it will manifest itself on this compactor’s outputs in the same or at a later cycle. Since the outputs from this compactor don’t reconverge, it follows that the error will manifest itself on the outputs of the 2N-compactor in the same or at a later cycle.

If one error appear on each of the two N-compactor’s inputs, then it follows by proposition 1 and the properties of the building block, that the error will manifest itself on the outputs of the 2N-compactor in the same or at a later cycle.

Proof of proposition 3

It is easily shown that this proposition holds for N = 8 (t = 3). Assume that the proposition holds for N = 2t where t > 3. Then it must hold for N = 2(t+1) as well; if the single-error and the unknown (‘X’) appear on the inputs of one of the N-compactors in Fig. 3, then by the assumption it will manifest itself on this compactor’s outputs in the same or at a later cycle. Since the outputs from this compactor don’t reconverge, it follows that the error can’t be masked by any ‘X’, and thus will manifest itself on the outputs of the 2N-compactor in the same or at a later cycle.

If the single-error appears on one of the N-compactor’s inputs and the unknown on the other, then it follows by proposition 1 and the properties of the building block, that the error will manifest itself on the outputs of the 2N-compactor in the same or at a later cycle.

Proof of proposition 4

It is relatively easy to show that this proposition holds for N = 4 (t = 2). Assume that the proposition holds for N = 2t where t > 2. Then it must hold for N = 2(t+1) as well; if two errors enter one of the N-compactors in Fig. 3, and the unknown (‘X’) the other, then two cycles with an error, but only one cycle with an ‘X’ will enter the inputs of the basic block in the 2N-compactor. Thus the error will be detected.

If one error enters one of the N-compactors in Fig. 3, and the other error plus the unknown (‘X’) enter the other N-compactor, then at least one cycle with an error, but just one cycle with an ‘X’ will enter the inputs of the basic block in the 2N-compactor. Thus the error will be detected.

Proof of proposition 5

The output of a given tree (main/aux) in the compactor, computes the XOR of all input variables, some of them delayed in time 1,...,t cycles.

Assume that an odd number of errors enter the compactor inputs in a given cycle. This is equivalent to removing the flip-flops from the XOR trees and instead spacing the number of errors in time accordingly. Thus for a given cycle, if an odd number of errors enter the compactor, error detection is accomplished. Furthermore, such a cycle will exist, since an odd number cannot be the sum of only even numbers.

Proof of proposition 6

It is easily shown that this proposition holds for N = 8 (t = 3). Assume that the proposition holds for N = 2t where t > 3. Then it must hold for N = 2(t+1) as well. If the single-error appears on the uppermost N-compactor’s inputs, then by the structure of the basic block it will manifest itself on the Y and Y′ outputs of the 2N-compactor in the same cycle.

If the single-error appears on the bottommost N-compactor’s inputs, then it will manifest itself on the Y output of the 2N-compactor in the same cycle and on the Y′ output of the 2N-compactor in the next cycle.

By the assumptions, the other outputs of the N-compactors are without any loss of information provided as auxiliary outputs to the 2N-compactor, thus providing the diagnostic information within one N-compactor.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Wichlund, S., Berntsen, F. & Aas, E.J. Scan Test Response Compaction Combined with Diagnosis Capabilities. J Electron Test 24, 235–246 (2008). https://doi.org/10.1007/s10836-007-5043-1

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-007-5043-1

Keywords

Navigation