Skip to main content
Log in

A Case for Chip Multiprocessors Based on the Data-Driven Multithreading Model

  • Published:
International Journal of Parallel Programming Aims and scope Submit manuscript

Current high-end microprocessors achieve high performance as a result of adding more features and therefore increasing complexity. This paper makes the case for a Chip-Multiprocessor based on the Data-Driven Multithreading (DDM-CMP) execution model in order to overcome the limitations of current design trends. Data-Driven Multithreading (DDM) is a multithreading model that effectively hides the communication delay and synchronization overheads. DDM-CMP avoids the complexity of other designs by combining simple commodity microprocessors with a small hardware overhead for thread scheduling and an interconnection network. Preliminary experimental results show that a DDM-CMP chip of the same hardware budget as a high-end commercial microprocessor, clocked at the same frequency, achieves a speedup of up to 18.5 with a 78–81% power consumption of the commercial chip. Overall, the estimated results for the proposed DDM-CMP architecture show a significant benefit in terms of both speedup and power consumption making it an attractive architecture for future processors.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. D. Patterson, Research Accelerator for Multiprocessing (2005).

  2. K. Olukotun, B. Nayfeh, L. Hammond, K. Wilson, and K. Chang, The Case for a Single Chip Multiprocessor, in Proc. of the 7th ASPLOS, pp. 2–11 (1996).

  3. C. Kyriacou, Data Driven Multithreading using Conventional Control Flow Microprocessors. Ph.D. Thesis, Dept. of Computer Science, University of Cyprus (2005).

  4. C. Kyriacou, S. Evripidou, and P. Trancoso, Data Driven Multithreading Using Conventional Microprocessors. IEEE Transactions on Parallel and Distibuted Systems (in press, 2006).

  5. Evripidou P. (2001). D3-machine: A Decoupled Data-Driven Multithreaded Architecture with Variable Resolution Support. Parallel Computing 27: 1197–1225

    Article  MATH  Google Scholar 

  6. P. Evripidou, and Gaudiot, J.L., A Decoupled Graph/Computation Data-Driven Architecture with Variable-Resolution Actors, in Proc. of the 1990 International Conference on Parallel Processing (ICPP), pp. 405–414 (1990).

  7. K. Stavrou, P. Evripidou, and P. Trancoso, DDM-CMP: Data-Driven Multithreading on a Chip Multiprocessor. Proceedings of the 5th International Workshop on Embedded Computer Systems: Architecture, MOdeling, and Simulation (SAMOS-V), pp. 364–373 (2005).

  8. C. Kyriacou, P. Evripidou, and P. Trancoso, Cacheflow: A Short-Term Optimal Cache Management Policy for Data Driven Multithreading, in EuroPar-04, pp. 561–570 (2004).

  9. Kongetira P., Aingaran K., Olukotun K. (2005). Niagara: A 32-Way Multithreaded Sparc Processor. IEEE Micro 25: 21–29

    Article  Google Scholar 

  10. Server, T. B., CPU Types. http://balusc.xs4all.nl/ned/har-cpu.html (2005).

  11. I. Gavrichenkov, AMD Athlon 64  ×  2 4800 +  Dual-Core Processor Review. http://www. xbitlabs.com/articles/cpu/display/athlon64-x2.html (2005).

  12. K. Stavrou, and P. Trancoso, Thermal-Aware Scheduling for Chip Multiprocessors. Technical Report TR 05-16, Department of Coumputer Science, University of Cyprus (2005).

  13. K. Stavrou, and P. Trancoso, TSIC: Thermal Scheduling Simulator for Chip Multiprocessors, in Proceedings of the 10th Panhellenic Conference on Informatics (PCI 2005), (2005).

  14. M. Nikitovic, and M. Brorsson, A Low Power Strategy for Future Mobile Terminals, in Proceedings of the Design, Automation and Test in Europe Conference (DATE’04), (2004).

  15. Evripidou P., Kyriacou C. (2000). Data Driven Network of Workstations (D2NOW). Journal of UCS 6: 1015–1033

    MATH  Google Scholar 

  16. Intel: Intel Microprocessor Quick Reference Guide. (http://www.intel.com/pressroom/kits/quickreffam.htm).

  17. D. Burger, and Austin, T.M., The Simplescalar Tool Set Version 2. Technical Report TR-1342, University of Wisconsin-Madison (1997).

  18. S. Woo et al., The SPLASH-2 Programs: Characterization and Methodological Considerations, in Proc. of the 22nd Annual International Symposium on Computer Architecture (ISCA), pp. 24–36 (1995).

  19. Marr D., Binns F., Hill D., Hinton G., Koufaty D., Miller J., Upton M. (2002). Hyper-Threading Technology Architecture and Microarchitecture. Intel Technology Journal 6: 4–15

    Google Scholar 

  20. D. Tullsen, S. Eggers, and H. Levy, Simultaneous Multithreading: Maximizing On-Chip Parallelism, in Proceedings of the 22nd Annual International Symposium on Computer Architecture, pp. 392–403 (1995).

  21. D. Brooks, V. Tiwari, and M. Martonosi, Wattch: A Framework for Architectural-Level Power Analysis and Optimizations, in Proceedings of the 27th Annual International Symposium on Computer Architecture, (2005).

  22. S. Woo et al., The SPLASH-2 Programs: Characterization and Methodological Considerations, in Proc. of 22nd ISCA, pp. 24–36 (1995).

  23. PCL, The Performance Counter Library Version 2.2 (2003).

  24. C. Kyriacou, P. Evripidou, and P. Trancoso, Data Driven Multithreading Using Conventional Microprocessors. Technical Report TR-05-4, University of Cyprus (2005).

  25. I. Corp., Intel XScale Technology Overview. http://www.intel.com/design/intelxscale/ (2005).

  26. Burger et al. D. (2004). Scaling to the End of Silicon with EDGE Architectures. IEEE Computer 37: 44–55

    MathSciNet  Google Scholar 

  27. Papadopoulos G., and D. Culler, Monsoon: An Explicid Token Store Architecture, in Proc. of the 17th Annual International Symposium on Computer Architecture (ISCA), 82–91 (1990).

  28. G. Papadopoulos, and K. Traub, Multithreading: A Revisionist View of Dataflow Architectures, in Proc. of the 18th Annual International Symposium on Computer Architecture (ISCA), 342–351 (1991).

  29. Kavi K., Giorgi R., Arul J. (2001). Scheduled Dataflow: Execution Paradigm, Architecure, and Performance Evaluation. IEEE Transactions on Computers 50: 834–846

    Google Scholar 

  30. B. Ang, Arvind, and D. Chiou, StarT the Next Generation: Integrating Global Caches and Dataflow Architecture, in Proceedings of the International Conference on Computer Systems and Education, IISc, (1994).

  31. B. Ang, D. Chiou, D. Rosenband, M. Ehrlich, L. Rudolph, and Arvind, The StarT-Voyager: A Flexible Platform for Exploring Scalable SMP Issues, in Proc. of SuperComputing 98, (1998).

  32. B. Ang, D. Chiou, L. Rudolph, and Arvind, The StarT-Voyager Parallel System, in Proc. of the International Conference on Parallel Architectures and Compilation Techniques (PACT98), (1998).

  33. H. Hum et al., A Design Study of the EARTH Multiprocessor, in Proc. of the International Conference on Parallel Architectures and Compilation Techniques (PACT95), pp. 59–68 (1995).

  34. Culler et al. D. (1993). TAM: A Compiler Controlled Threaded Abstract Machine. JPDC 18: 347–370

    Google Scholar 

  35. Hammond et al. L. (2000). The Stanford Hydra CMP. IEEE Micro 20: 71–84

    Article  Google Scholar 

  36. L. Barroso et al., Piranha: A Scalable Architecture Based on Single-Chip Multiprocessing, in Proc. of the 27th ISCA, pp. 282–293 (2000).

  37. M. Taylor et al., Evaluation of the Raw Microprocessor: An Exposed Wire Delay Architecture for ILP and Streams, in Proc. of the 31st ISCA, pp. 2–13 (2004).

  38. Kalla R., Sinharoy B., Tendler M. (2004). IBM POWER5 Chip: A Dual-Core Multithreaded Processor. IEEE Micro 24: 40–47

    Article  Google Scholar 

  39. P. Kongetira, A 32-Way Multithreaded SPARC Processor, in Proc. of Hot Chips 2004, (2004).

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Pedro Trancoso.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Trancoso, P., Evripidou, P., Stavrou, K. et al. A Case for Chip Multiprocessors Based on the Data-Driven Multithreading Model. Int J Parallel Prog 34, 213–235 (2006). https://doi.org/10.1007/s10766-006-0016-z

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10766-006-0016-z

Keywords

Navigation