Skip to main content
Log in

System level modeling methodology of NoC design from UML-MARTE to VHDL

  • Published:
Design Automation for Embedded Systems Aims and scope Submit manuscript

Abstract

The evolution of the semiconductor technology caters for the increase in the System-on-Chip (SoC) complexity. In particular, this complexity appears in the communication infrastructures like the Network-on-Chips (NoCs). However many complex SoCs are becoming increasingly hard to manage. In fact, the design space, which represents all the concepts that need to be explored during the SoC design, is becoming dramatically large and difficult to explore. In addition, the manipulation of SoCs at low levels, like the Register Transfer Level (RTL), is based on manual approaches. This has resulted in the increase of both time-to-market and the development costs. Thus, there is a need for developing some automated high level modeling environments for computer aided design in order to handle the design complexity and meet tight time-to-market requirements. The extension of the UML language called UML profile for MARTE (Modeling and Analysis of Real-Time and Embedded systems) allows the modeling of repetitive structures such as the NoC topologies which are based on specific concepts. This paper presents a new methodology for modeling concepts of NoC-based architectures, especially the modeling of topology of the interconnections with the help of the repetitive structure modeling (RSM) package of MARTE profile. This work deals with the ways of improving the effectiveness of the MARTE standard by clarifying and extending some notations in order to model complex NoC topologies. Our contribution includes a description of how these concepts may be mapped into VHDL. The generated code has been successfully evaluated and validated for several NoC topologies.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12

Similar content being viewed by others

References

  1. Benini L, De Micheli G (2002) Networks on chips: a new SoC paradigm. IEEE Comput Soc 35(1):70–78

    Article  Google Scholar 

  2. Dally WJ, Towles B (2001) Route packets not wires on-chip interconnection networks. In: Proceedings of the design automation conference (DAC01), pp 684–689

    Google Scholar 

  3. Sgroi M, Sheets M, Keutzer K, Malik S, Rabaey J, Vencentelli A (2001) Addressing the system-on-a-chip interconnect woes through communication-based design. In: Proceedings of the design automation conference (DAC01), pp 70–78

    Google Scholar 

  4. Ogras UY, Marculescu R (2005) Application-specific Network-on-chip architecture customization via long-range link insertion. In: Proceedings of the 2005 IEEE/ACM international conference on computer-aided design (ICCAD05), pp 246–253

    Google Scholar 

  5. Moraes F (2004) HERMES an infrastructure for low area overhead packet-switching networks on chip. Integration 38(1):69–93

    Google Scholar 

  6. Dall’Osso M (2003) Xpipes: a latency insensitive parameterized network on chip architecture for multi-processors SoCs. In: Proceedings of international conference on computer design, pp 536–539

    Chapter  Google Scholar 

  7. Karim F, Nguyen A, Dey S, Ramesh R (2001) On-chip communication architecture for OC-768 network processors. In: Proceedings of design automation conference (DAC01), pp 678–683

    Google Scholar 

  8. Holsmark R, Kumar S (2005) Design issues and performance evaluation of mesh NoC with regions. In: Proceedings of NORCHIP conference (NORCHIP05), pp 40–43

    Google Scholar 

  9. Hemani A, Jantsch A, Kumar S, Postula A, Berg J, Millberg M, Lindquist D (2000) Network on a chip: an architecture for billion transistor era. In: Proceedings of NORCHIP conference (NORCHIP00), pp 40–43

    Google Scholar 

  10. OMG (2009) A UML profile for MARTE: modeling and analysis of real-time embedded systems, OMG adopted specification

  11. OMG (2009) Modeling and analysis of real-time and embedded systems. Object Management Group. http://www.omg.org/spec/MARTE/

  12. Demeure A, Lafage A, Boutillon E, Rozonelli D, Dufourd JC, Marro JL (1995) Array-OL: proposition d’un formalisme tableau pour le tratement de signal multi-dimensionnel. http://hdl.handle.net/2042/12353

  13. Glita C, Dumont Ph, Boulet P (2010) ARRAY-OL with delays, a domain specific specification language for multidimensional intensive. Multidimens Syst Signal Process 21(2):105–131

    Article  MathSciNet  Google Scholar 

  14. DaRT Team LIFL/INRIA (2008) Graphical array specification for parallel and distributed computing (Gaspard). https://gforge.inria.fr/projects/gaspard2/

  15. Elhaji M, Boulet P, Zitouni A, Tourki R, Dekeyser JL, Meftaly S (2011) Modeling networks-on-chip at system level with the MARTE UML profile. In: Proceedings of the model based engineering for embedded systems design (M-BED2011)

    Google Scholar 

  16. Zid M, Zitouni A, Baganne A, Tourki R (2009) Nouvelles architectures generiques de NoC. J Tsinghua Univ (Sci Technol) 28(1):101–133

    Google Scholar 

  17. Murali S (2009) Designing reliable and efficient networks on chips. Lecture notes in electrical engineering, vol 34. Springer, Berlin

    Book  MATH  Google Scholar 

  18. Arteris. http://www.arteris.com/

  19. INoC. http://www.inocs.com/

  20. Silistix. http://www.silistix.com/

  21. Dafali R, Diguet JP, Evain S, Eustache Y, Juin E (2007) Spider CAD tool: case study of NoC IP generation for FPGA. In: Proceedings of design and architectures for signal and image processing (DASIP07), pp 457–460

    Google Scholar 

  22. Moenner P, Perraudeau L, Quinton P, Rajopadhye S, Risset T (1996) Generating regular arithmetic circuits with ALPHARD. In: IRISA

    Google Scholar 

  23. Williamson MC (1996) Synthesis of parallel hardware implementations from synchronous dataflow graph specifications. In: Proceedings of the thirtieth Asilomar on signals, systems and computers, pp 1340–1343

    Google Scholar 

  24. MathWorks (2009) Simulink Hdl coder. www.mathworks.com/products/slhdlcoder

  25. Wood SK, Akehurst DH, Howells WGJ, McDonald-Maier KD (2008) Array OL descriptions of repetitive structures in VHDL. In: Proceedings of the 4th European conference on model driven architecture, pp 137–152

    Google Scholar 

  26. LE Beux S, Marquet P, Dekeyser JL (2008) Model driven engineering benefits for high level synthesis. INRIA res rep 6615, INRIA 2008

  27. Damasevicius R, Stuikys V (2004) Application of UML for hardware design based on design process model. In: Proceedings of the Asia and South pacific design automation conference (ASP-DAC04), pp 244–249

    Google Scholar 

  28. Favre M (2005) Foundations of model driven reverse engineering: models episode. I. Stories of the Fidus papyrus and of the Solarus, language engineering for model-driven software development. //drops.dagstuhl.de/opus/volltexte/2005/13

  29. Bjorklund D, Lilius J (2002) From UML behavioral descriptions to efficient synthesizable VHDL. In: Proceedings of the IEEE NORCHIP conference

    Google Scholar 

  30. Moreiral TG, Wehrmeister MA, Pereira CE, Ptin JF, Levrat E (2010) Generating VHDL source code from UML models of embedded systems. In: Proceedings of IFIP advances in information and communication technology, pp 125–136

    Google Scholar 

  31. Rieder M, Steiner R, Berthouzoz C, Corthay F, Sterren T (2006) Synthesized UML, a practical approach to map UML to VHDL. In: Rapid integration of software engineering techniques. Lecture notes in computer science, vol 39, pp 203–217

    Chapter  Google Scholar 

  32. Boulet P (2007) Array-OL revisited, multidimensional intensive signal processing specification. INRIA

  33. Coppola M, Locatelli R, Maruccia G, Pieralisi L, Scandurra A (2004) Spidergon: a novel on-chip communication network. In: Proceedings of the international symposium on system-on-chip, p 15

    Google Scholar 

  34. Gamatié A, Le Beux S, Piel E, Ben Atitallah R, Etien A, Marquet P, Dekeyser JL (2011) A model driven design framework for massively parallel embedded systems. ACM Trans Embed Comput Syst 10(4):2–36

    Article  Google Scholar 

  35. Boulet P (2008) Formal semantics of Array-OL, a domain specific language for intensive multidimensional signal processing. INRIA res rep RR-6467

  36. Demeur A, Del Gallo Y (1998) An array approach for signal processing design. In: Proceedings of Sophia-Antipolis conference on micro-electronics (SAME98)

    Google Scholar 

  37. Object Management Group (2005) UML Profile for schedulability, performance, and time version 1.1. http://www.omg.org/technology/documents/formal/schedulability

  38. Object Management Group (2006) Final adopted OMG SysML specification. http://www.omg.org/cgi-bin/docptc/06-0504

  39. Yu H, Gamatié A, Rutten E, Dekeyser JL (2008) Model transformations from a data parallel formalism towards synchronous language. In: Embedded systems specification and design languages. Lecture notes in electrical engineering, vol 10, pp 183–198

    Chapter  Google Scholar 

  40. Taillard J, Guyomarch F, Dekeyser JL (2008) A graphical framework for high performance computing using an MDE approach. In: Proceedings of Euromicro international conference on parallel, distributed and network-based processing (PDP08), pp 165–173

    Google Scholar 

  41. BEN Atitalah R, Piel E, Niar S, Marquet P, Dekeyser JL (2007) Multilevel MPSoC simulation using an MDE approach. In: Proceedings of the IEEE international SoC conference (SoCC07), pp 197–200

    Chapter  Google Scholar 

  42. Quadri I, Elhaji M, Meftali S, Dekeyser J-L (2010) From MARTE to reconfigurable NoCs: a model driven design methodology, dynamic reconfigurable network-on-chip design: innovations for computational processing and communication. IGI Global, Hershey

    Google Scholar 

  43. Elhaji M, Attia B, Zitouni A, Meftali S, Dekeyser JL, Tourki R (2011) FERONOC: flexible and extensible router implementation for diagonal mesh topology. In: Proceedings of the design and architectures for signal and image processing (DASIP11), pp 269–276

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Majdi Elhaji.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Elhaji, M., Boulet, P., Zitouni, A. et al. System level modeling methodology of NoC design from UML-MARTE to VHDL. Des Autom Embed Syst 16, 161–187 (2012). https://doi.org/10.1007/s10617-012-9101-2

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10617-012-9101-2

Keywords

Navigation