Skip to main content
Log in

Joint Use of Methods of Structural Decomposition for Optimizing the Circuit of Moore FSM

  • Published:
Cybernetics and Systems Analysis Aims and scope

Abstract

A method is proposed for optimizing hardware expenditure in the Moore FSM circuit implemented with FPGA. The method is based on joint input replacement use and transformation of state codes into codes of classes of pseudoequivalent states. This approach leads to a three-level FSM circuit. An example of synthesis of a Moore FSM with application of the proposed method is shown. Analysis of positive and negative features of the proposed method is constructed. The researches based on standard benchmark FSMs show that the proposed method allows reducing hardware expenditure and consumed power with insignificant amount of degradation of FSM performance.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Similar content being viewed by others

References

  1. S. Baranov, Logic Synthesis for Control Automata, Kluwer Academic Publishers, Dordrecht (1994).

    Book  Google Scholar 

  2. V. Sklyarov, I. Skliarova, A. Barkalov, and L. Titarenko, Synthesis and Optimization of FPGA-Based Systems, Springer, Berlin (2014).

    Book  Google Scholar 

  3. A. Barkalov, L. Titarenko, and M. Mazurkiewicz, Foundations of Åmbedded Systems; Studies in Systems, Decision and Control, Vol. 195, Springer Nature Switzerland AG (2019).

  4. P. Marwedel, Embedded System Design. Embedded Systems, Foundations of Cyber-Physical Systems and the Internet of Things, Springer International Publishing AG, Berlin (2018).

  5. R. Czerwinski and D. Kania, Finite State Machines Logic Synthesis for Complex Programmable Logic Devices; Lecture Notes in Electrical Engineering, Vol. 231, Springer Verlag, Berlin–Heidelberg (2013).

    Book  Google Scholar 

  6. G. DeMicheli, Synthesis and Optimization of Digital Circuits, McGraw-Hill, New York (1994).

    Google Scholar 

  7. A. A. Barkalov, L. A. Titarenko, A. V. Baiev and A. V. Matviienko, “Mixed encoding of collections of microoperations for a microprogram finite-state machine,” Cybern. Syst. Analysis, Vol. 56, No. 3, 343–355 (2020). https://doi.org/10.1007/s10559-020-00251-8.

    Article  MATH  Google Scholar 

  8. V. V. Solov’ev, Design of Digital Systems on the Basis of Programmable Logic Integrated Circuits [in Russian], Goryachaya Liniya-Telecom, Moscow (2001).

    Google Scholar 

  9. I. Skliarova, V. Sklyarov, and A. Sudnitson, Design of FPGA-Based Circuits using Hierarchical Finite State Machines, TUT Press, Tallinn (2012).

    Google Scholar 

  10. L. Machado and J. Cjrtadella, “Support-reducing decomposition for FPGA mapping,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, Vol. 39, No. 1, 213–224 (2020).

    Article  Google Scholar 

  11. A. Barkalov and L. Titarenko, Logic Synthesis for FSM-Based Control Units, Springer, Berlin (2009).

    Book  Google Scholar 

  12. I. Grout, Digital Systems Design with FPGAs and CPLDs, Elsevier, Amsterdam (2008).

    Google Scholar 

  13. A. Barkalov, L. Titarenko, K. Mielcatek, and S. Chmielewski, Logic Synthesis for FPGA-Based Control Units: Structural Decomposition in Logic Design; Lecture Notes in Electrical Engineering, Vol. 636, Springer Nature Switzerland AG (2020).

  14. R. I. Grushnitskii, A. Kh. Mursaev, and E. P. Ugryumov, Design of Systems on the Basis of PLDs [in Russian], BHV, St. Petersburg (2002).

    Google Scholar 

  15. C. Maxfield, The Design Warrior’s Guide to FPGAs, Newnes, Amsterdam (2004).

    Google Scholar 

  16. A. A. Barkalov and L. A. Titarenko, “Code conversion in compositional microprogram control units,” Cybern. Syst. Analysis, Vol. 47, No. 5, 763–772 (2011). https://doi.org/10.1007/s10559-011-9355-x.

    Article  Google Scholar 

  17. M. Kubica and D. Kania, “Area-oriented technology mapping for LUT-based logic blocks,” Int. J. Appl. Math. Comput. Sci., Vol. 27, No. 1, 207–222 (2017).

    Article  MathSciNet  Google Scholar 

  18. M. Kołopienczyk, L. Titarenko, and A. Barkalov, “Design of EMB-based Moore FSMs,” J. of Circuits, Systems and Computers, Vol. 26, No. 07, 1750125 (2017). 10.1142/S0218126617501250.

  19. A. Tiwari and K. Tomko, “Saving power by mapping finite state machines into embedded memory blocks in FPGAs,” in: Proc. Design, Automation and Test in Europe Conference and Exhibition (Paris, France, 6–20 Feb, 2004), Vol. 2 (2004), pp. 916–921.

  20. White Paper FPGA Architecture. URL: www.altera.com.

  21. UG473 (v1.14) July 3 (2019). URL: www.xilinx.com.

  22. M. Rawski, P. Tomaszewicz, G. Borowski, and T. Luba, “Logic synthesis method of digital circuits designed for implementation with embedded memory blocks on FPGAs,” in: M. Adamski, A. Barkalov, and M. Wegrzyn (eds.), Design of Digital Systems and Devises; Lecture Notes in Electrical Engineering, Vol. 79, Springer, Berlin, (2011), pp. 121–144.

    Google Scholar 

  23. M. Rawski, H. Selvaraj and T. Luba, “An application of functional decomposition in ROM-based FSM implementation in FPGA devices,” J. of System Architecture, Vol. 51, Iss. 6–7, 424–434 (2005).

  24. M. Nowicka, T. Luba, and M. Rawski, “FPGA-based decomposition of Boolean functions: Algorithms and implementations,” in: Proc. of the 6th Intern. Conf. on Advanced Computer Systems (Szczecin, 1999) (1999), pp. 502–509.

  25. A. Barkalov, L. Titarenko, and S. Chmielewski, “Mixed encoding of collections of output variables for LUT-based FSMs,” J. of Circuits, Systems and Computers, Vol. 28, No. 08, 1950131 (2019). 10.1142/S0218126619501317.

  26. I. Garcia-Vargas, R. Senhadji-Navarro, G. Jim_nez-Moreno, A. Civit-Balcells, and P. Guerra-Gutierrezz, “ROM-based finite state machines implementation in low cost FPGAs,” in: IEEE Intern. Simp. on Industrial Electronics (ISIE’07) (Vigo, 2007) (2007), pp. 2342–2347.

  27. L. Garcia-Vargas and R. Senhaji-Navarro, “Finite state machines with input multiplexing: A performance study,” IEEE Trans. on CAD of Integrated Circuits and Systems, Vol. 34, Iss. 5, 867–871 (2015).

  28. A. A. Barkalov, L. A. Titarenko, and K. N. Efimenko, “Optimization of circuits of compositional microprogram control units implemented on FPGA,” Cybern. Syst. Analysis, Vol. 47, No. 1, 166–174 (2011). https://doi.org/10.1007/s10559-011-9299-1.

    Article  MATH  Google Scholar 

  29. ABC System (n.d.) (2020). URL: https://people.eecs.berkeley.edu/~alanmi/abc/.

  30. E. M. Sentowich, K. J. Singh, L. Lavango, C. Moon, R. Murgai, A. Saldanha, H. Savoj, P. R. Stephan, R. K. Bryton, and A. Sanjiovanni-Vincentelli, SIS: A System for Sequential Circuit Synthesis, Technical Report, University of California, Berkely (1992). http://www2.eecs.berkeley.edu/Pubs/TechRpts/1992/ERL-92-41.pdf.

    Google Scholar 

  31. T. Michalski and Z. Kokosinski, “Functional decomposition of combionational logic circuits with PKmin,” Technical Transactions, Electrical Engeneering, Czasopismo Technicze, Elektrotechnika, Iss. 2-E, 191–202 (2016).

  32. DEMAIN. URL: www.zpt.tele.pw.edu.pl/oprogramowanie/demain.html.

  33. Vivado (2020). URL: https://www.xilinx.com/design sub tools/vivado.html.

  34. QuartusII (2020). URL: https://www.intel.com/content/www/us/en/programmable/downloads/software/quartus-ii-we/121.html.

  35. S. Yang, Logic Synthesis and Optimization Benchmarks User Guide, Version 3.0, Techn. Rep., Microelectronics Center of North Carolina (1991).

  36. Xilinx (2020). Virtex-5 Family Overview, PDF, Xilinx Corporation. URL: https://www.xilinx.com/support/documentation/data_sheets/ds100.pdf/.

    Google Scholar 

  37. A. V. Palagin, V. N. Opanasenko, and S. L. Kryvyi, “Resource and energy optimization oriented development of FPGA-based adaptive logical networks for classification problem,” Green IT Engineering: Components, Networks and Systems Implementation, Vol. 105, 195–218 (2017). DOI: 10.1007 978-3-319-55595-9_10.

  38. V. N. Opanasenko and S. L. Kryvyi, “Synthesis of neural-like networks on the basis of conversion of cyclic Hamming codes,” Cybern. Syst. Analysis, Vol. 53, No. 4, 627–635 (2017). https://doi.org/10.1007/s10559-017-9965-z.

    Article  MATH  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to A. A. Barkalov.

Additional information

Translated from Kibernetyka ta Systemnyi Analiz, No. 2, March–April, 2021, pp. 3–16.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Barkalov, A.A., Titarenko, L.A., Baiev, A.V. et al. Joint Use of Methods of Structural Decomposition for Optimizing the Circuit of Moore FSM. Cybern Syst Anal 57, 173–184 (2021). https://doi.org/10.1007/s10559-021-00341-1

Download citation

  • Received:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10559-021-00341-1

Keywords

Navigation