Skip to main content
Log in

Evaluation and choice of various brånch predictors for low-power embedded processor

  • Published:
Journal of Computer Science and Technology Aims and scope Submit manuscript

Abstract

Power is an important design constraint in embedded computing systems. To meet the power constraint, microarchitecture and hardware designed to achieve high performance need to be revisited, from both performance and power angles. This paper studies one of them: branch predictor. As well known, branch prediction is critical to exploit instruction level parallelism effectively, but may incur additional power consumption due to the hardware resource dedicated for branch prediction and the extra power consumed on mispredicted branches. This paper explores the design space of branch prediction mechanisms and tries to find the most beneficial one to realize low-power embedded processor. The sample processor studied is Godson-like processor, which is a dual-issue, out-of-order processor with deep pipeline, supporting MIPS instruction set.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Smith J E. A study of branch prediction strategies. InProc. the 8th Int. Symp. Computer Architecture, Minneapolis, 1981, p.135–148.

  2. Yeh T Y, Patt Y N. Alternative implementations of two-level adaptive branch prediction. InProc. the 19th Int. Symp. Computer Architecture, Queensland, 1992, pp.124–134.

  3. Yeh T Y, Patt Y N. Two-level adaptive training branch prediction. InProc. the 24th Annual Int. Symp. Microarchitecture, Albuquerque, 1991, pp.51–61.

  4. Pan S T, So K, Rahmeh J T. Improving the accuracy of dynamic branch prediction using branch correlation. InProc. the fifth Int Conf. Architectural Support for Programming Languages and Operating Systems, Boston, 1992, pp.76–84.

  5. Chang P Y, Hao E, Patt Y N. Alternative implementations of hybrid branch predictors. InProc. the 28th Annual Int. Symp. Microarchitecture. Ann Arbor, 1995, pp.252–257.

  6. Evers M, Chang PY, Patt Y. Using hybrid branch predictors to improve branch prediction accuracy in the presence of context switches. InProc. 23rd Annual Int. Symp. Computer Architecture, Philadelphia, 1996, pp.3–11.

  7. Maria-Dana Tarlescu, Kevin B Theobald, Guang R Gao. Elastic history buffer: A low-cost method to improve branch prediction accuracy. InICCD’97, Austin, 1997, pp.82–87.

  8. Kevin B Theobald, Guang R Gao, Laurie J Hendren. Speculative execution and branch prediction on parallel machines. InProc. 1993 Int. Conf. Supercomputing, Tokyo, 1993, pp.77–86.

  9. Parikh D, Skadron K, Zhang Y, Barcella M, Stan M. Power issues related to branch prediction. InProc. the 8th Int. Symp. High-Performance Computer Architecture, Boston, 2002, pp.233–246.

  10. Matthew R Guthaus, Jeffrey S Ringenberg, Dan Ernst. MiBench: A free commercially representative embedded benchmark suite. InIEEE 4th Annual Workshop on Workload Characterization. Austin, 2001, pp. 1–12.

  11. MiBench, Benchmarks Set. Available from http:// www.eecs.umich.edu/~jringenb/mibench/

  12. Burger D, Austin T. The Simplescalar Tool Set. Version 2.0. Technical Report CSTR-97-1342, 1997, University of Wisconsin, Madison.

    Google Scholar 

  13. Brooks D, Tiwari V, Martonosi M. Wattch: A framework for architectural-level power analysis and optimizations. InProc. the 27th Annual Int. Symp. Computer Architecture, Vancouver, 2000, pp.83–94.

  14. David M Brooks, Pradip Bose, Stanley E Schusteret al. Power-aware microarchitecture: Modeling challenges for next-generation microprocessors.IEEE Micro, 2000, 20(6): 26–44.

    Article  Google Scholar 

  15. Gonzalez R, Horowitz M. Energy dissipation in general purpose microprocessors.IEEE Journal of Solid-State Circuits, 1996, 31(9): 1277–1284.

    Article  Google Scholar 

  16. Su C, Despain A. Cache design tradeoffs for power and performance optimization: A case study.ACM/IEEE Int. Symp. Low-Power Design, Dana Point, California, 1995, pp.63–68.

  17. Ramon Canal, Antonio González, James E Smith. Very low power pipelines using significance compression. InProc. the 33rd Annual Int. Symp. Microarchitecture, Monterey, California, 2000, pp.181–190.

  18. An Introduction about the Godson project of Institute of Computing Technology, CAS. Available from http://www.ict.ac.cn/xinwen/dt011014_1.htm, 2002.

  19. Skadron K, Ahuja P S, Martonosi M, Clark D W. Branch prediction, instruction-window size, and cache size: Performance tradeoffs and simulation techniques.IEEE Trans. Computers, 1999, 48(11): 1260–1281.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Fan DongRui.

Additional information

The work was supported by the Pilot Project of Knowledge Innovation Program of the Chinese Academy of Sciences under Grant No.KGCX2-109 and the National High-Tech Research and Development 863 Program of China under Grant No.2001AA111091.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Fan, D., Yang, H., Gao, G. et al. Evaluation and choice of various brånch predictors for low-power embedded processor. J. Comput. Sci. & Technol. 18, 833–838 (2003). https://doi.org/10.1007/BF02945473

Download citation

  • Received:

  • Revised:

  • Issue Date:

  • DOI: https://doi.org/10.1007/BF02945473

Keywords

Navigation