Skip to main content

A Review of Approximate Multipliers and Its Applications

  • Conference paper
  • First Online:
Advances in Automation, Signal Processing, Instrumentation, and Control (i-CASIC 2020)

Part of the book series: Lecture Notes in Electrical Engineering ((LNEE,volume 700))

Abstract

Recently Low Power (LP) and High Speed (HS) of real-time computing is necessary for various application areas like image processing, neural network, internet of things and Digital Signal Processing (DSP). 86% of the data processing time in a real-time 3-D graphics system is due to DIVision (DIV) operation, and MULtiplier (MUL) OPeration (OP). Approximate MultiPlier (AMP) is the possible key for hardware efficient and fast MUL OP. In the last 10 years, the APP multiplier becomes a main arithmetic component for many applications. However, development in systematic approach along with the merits and demerits is not relived in the literature survey. Hence this paper not only presents about the development of the AMP architectures design and evolution but also presents research areas in AMP. This systematic study includes the new architectures used by researchers to improve the design of AMP and the advantages over other methods in respect of AMP are also highlighted.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 169.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 219.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Free shipping worldwide - see info
Hardcover Book
USD 219.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Mittal S (2016) A survey of techniques for approximate computing. ACM Comput Surv 48(4):1–4

    Google Scholar 

  2. A Sampson, et al. (2011) EnerJ: approximate data types for safe and general low-power computation. In: Proceeding on 32nd ACM SIGPLAN conference on programming language design and implementation, California, USA, p 164–174.

    Google Scholar 

  3. Yoshida K, Sakamoto T, Hase T (1998) A 3D graphics library for 32-bit microprocessors for embedded systems. IEEE Trans Consumer Electron 44(3):1107–1114

    Article  Google Scholar 

  4. Klinefelter JR, Tschanz J, Calhoun BH (2015) Error-energy analysis of hardware logarithmic approximation methods for low power applications. In: Proceeding on IEEE international symposium on circuits and systems (ISCAS), Lisbon, Portugal, p 2361–2364.

    Google Scholar 

  5. Jiang H, Liu C, Maheshwari N, Lombardi F, Han J (2016) A comparative evaluation of approximate multipliers. In: Proceeding on IEEE/ACM international symposium on nanoscale architectures (NANOARCH), Beijing, China, p 191–196.

    Google Scholar 

  6. Ha M, Lee S (2018) Multipliers with approximate 4–2 compressors and error recovery modules. IEEE Embedded Syst Lett 10(1):6–9

    Article  Google Scholar 

  7. Alouani I, Ahangari H, Ozturk O, Niar S (2018) A novel heterogeneous approximate multiplier for low power and high performance. IEEE Embed Syst Lett 10(2):45–48

    Article  Google Scholar 

  8. Liu W, Montuschi P (2018) Design and evaluation of approximate logarithmic multipliers for low power error-tolerant applications. IEEE Trans Circ Syst I 65(10):2856–2868

    Google Scholar 

  9. Ansari MS, Cockburn BF (2018) Low-power approximate multipliers using encoded partial products and approximate compressors. IEEE J Emerging Selected Top Circ Syst 8(3):2856–2868

    Google Scholar 

  10. Gillani GA, Shafique M (2019) MACISH: designing approximate MAC accelerators with internal-self-healing. IEEE Access 7(1):2169–3536

    Google Scholar 

  11. Saadat H, Bokhari H, Parameswaran S (2018) Minimally biased multipliers for approximate integer and floating-point multiplication. IEEE Trans Comp-Aid Des Integ Circ Syst 37(11):2856–2868

    Google Scholar 

  12. Esposito D, Napoli E, Petra N (2018) Approximate multipliers based on new approximate compressors. IEEE Trans Circ Syst I 65(12):2856–2868

    Google Scholar 

  13. Hammad I, El-Sankary K (2018) Impact of approximate multipliers on VGG deep learning network. IEEE Access 6(1):60438–60444

    Article  Google Scholar 

  14. Chandran V, Elakkiya, (2017) Energy efficient and high-speed approximate multiplier using rounding technique. J VLSI Des Signal Process 3(2):1–8

    Google Scholar 

  15. Mazahir S, Hasan O, Hafiz R, Shafique M (2017) Probabilistic error analysis of approximate recursive multipliers. IEEE Trans Comp 66(1):1982–1990

    Article  MathSciNet  Google Scholar 

  16. Zendegani R, Kamal M, Bahadori M, Afzali-Kusha A, Pedram M (2017) ROBA multiplier: a rounding-based approximate multiplier for high-speed yet energy-efficient digital signal processing. IEEE Trans Very Large Scale Integration (VLSI) Syst 25(2):393–401

    Article  Google Scholar 

  17. Lohray P, Gali S, Rangisetti S, Nikoubin T (2019) Rounding technique analysis for power-area & energy efficient approximate multiplier design. In: Proceeding on IEEE 9th annual computing and communication workshop and conference (CCWC). Nevada, United States, pp 420–425

    Google Scholar 

  18. Che-Wei Tung, Shih-Hsu Huang (2019) Low-power high-accuracy approximate multiplier using approximate high-order compressors. In: Proceeding on 2nd international conference on communication engineering and technology, Nagoya, Japan, pp 163–167.

    Google Scholar 

  19. Jamuna Ramasamy and Sathishkumar Nagarajan (2016) Hybrid segment approximate multiplication for image processing applications. Circ Syst 7(1):1701–1708

    Google Scholar 

  20. Anil Kumar Reddy Y, Sathish Kumar P (2018) Performance analysis of 8-point fft using approximate radix-8 booth multiplier. In: Proceeding on international conference on communication and electronics systems (ICCES 2018), Coimbatore, India, pp 42–45.

    Google Scholar 

  21. Liu W, Cao T, Yin P, Zhu Y, Wang C, Swartzlander EE (2018) Design and analysis of approximate redundant binary multipliers. IEEE Trans Comp 68(6):804–819

    Article  MathSciNet  Google Scholar 

  22. Ko H-J, Hsiao S-F (2011) Design and application of faithfully rounded and truncated multipliers with combined deletion, reduction, truncation, and rounding. IEEE Trans Circ Syst II 58(5):304–308

    Google Scholar 

  23. Narayanamoorthy S, Moghaddam HA, Liu Z, Park T, Kim NS (2015) Energy-efficient approximate multiplication for digital signal processing and classification applications. IEEE Trans Very Large Scale Integration (VLSI) Syst 23(6):1180–1184

    Article  Google Scholar 

  24. Hashemi S, Bahar RI, Reda S (2015) DRUM: a dynamic range unbiased multiplier for approximate applications. In: Proceeding on IEEE/ACM international conference computing-aided design (ICCAD), Austin, TX, USA, pp 418–425

    Google Scholar 

  25. Vahdat S, Kamal M, Afzali-Kusha A, Pedram M (2019) TOSAM: an energy-efficient truncation and rounding-based scalable approximate multiplier. IEEE Trans Very Large Scale Integration Syst 27(5):1161–1173

    Article  Google Scholar 

  26. Weiqiang Liu, Tingting Zhang, Emma McLarnon, Maire O'Neill, Paolo Montuschi, Fabrizio Lombardi (2019) Design and analysis of majority logic based approximate adders and multipliers. IEEE Trans Emerging Topics Comput 1–69

    Google Scholar 

  27. Nandan D, Kanungo J, Mahajan A (2017) An efficient VLSI architecture design for logarithmic multiplication by using the improved operand decomposition. Integration Elsewhere 58:134–141

    Google Scholar 

  28. Kakacak A, Guzel AE, Cihangir O, Gören S, Fatih Ugurdag H (2017) Fast multiplier generator for FPGAs with LUT based partial product generation and column/row compression. Integration Elsewhere 57:147–157

    Google Scholar 

  29. Leon V, Zervakis G, Soudris D, Pekmestzi K (2018) Approximate hybrid high radix encoding for energy-efficient inexact multipliers. IEEE Trans Very Large Scale Integration Syst 26(3):421–430

    Article  Google Scholar 

  30. Vahdat S, Kamal M, Afzali-Kusha A, Pedram M (2017) LETAM: a low energy truncation-based approximate multiplier. Comp Elect Eng 63:1–17

    Article  Google Scholar 

  31. Akbari O, Kamal M, Afzali-Kusha A, Pedram M (2017) Dual-quality 4:2 compressors for utilizing in dynamic accuracy configurable multipliers. IEEE Trans Very Large Scale Integration (VLSI) Syst 25(4):1352–1361

    Article  Google Scholar 

  32. Mark S. K. Lau, Keck-Voon Ling, Yun-Chung Chu (2009) Energy-aware probabilistic multiplier: design and analysis. In: Proceedings on international conference on compilers, architecture, and synthesis for embedded systems, New York, USA, pp 281–290

    Google Scholar 

  33. Venkatachalam S, Ko S-B (2017) Design of power and area efficient approximate multipliers. IEEE Trans Very Large Scale Integration Syst 25(5):1782–1786

    Article  Google Scholar 

  34. Liu C, Han J, Lombardi F (2014) A Low-power, high-performance approximate multiplier with configurable partial error recovery. In: Proceeding on 2014 design, automation & test in Europe conference & exhibition (DATE). Dresden, Germany, pp 1–4

    Google Scholar 

  35. Momeni A, Han J, Montuschi P, Lombardi F (2015) Design and analysis of approximate compressors for multiplication. IEEE Trans Comp 64(4):984–994

    Article  MathSciNet  Google Scholar 

  36. Kartikeya Bhardwaj, Pravin S. Mane, Jorg Henkel (2014) Power- and area-efficient approximate wallace tree multiplier for error-resilient systems. In: Proceeding on fifteenth international symposium on quality electronic design, Santa Clara, CA, USA, pp 263–271

    Google Scholar 

  37. Parag Kulkarni, Puneet Gupta, Milos Ercegovac (2011) Trading accuracy for power with an under designed multiplier architecture. In: Proceeding on 24th annual conference on VLSI design, Chennai, India, pp 346–351

    Google Scholar 

  38. Kyaw KY, Goh WL, Yeo KS (2011) Low-power high-speed multiplier for error tolerant application. In: Proceeding on IEEE international conference of electron devices and solid-state circuits. China, Hong Kong, pp 1–4

    Google Scholar 

  39. Chia-Hao Lin, Ing-Chao Lin (2013) High accuracy approximate multiplier with error correction. In: Proceeding on 2013 IEEE 31st international conference on computer design (ICCD), Asheville, NC, USA, pp 1–6

    Google Scholar 

  40. Mehta A, Maurya S, Sharief N, Pranay BM, Jandhyala S, Purini S (2015) Accuracy-configurable approximate multiplier with error detection and correction. In: Proceeding on TENCON 2015–2015 IEEE region 10 conference. Macao, China, pp 1–4

    Google Scholar 

  41. Pranay BM, Jandhyala S (2015) Accuracy configurable modified booth multiplier using approximate adders. In: Proceeding on IEEE international symposium on nano-electronic and information systems. Indore, India, pp 1–5

    Google Scholar 

  42. Liu W, Qian L, Wang C, Jiang H, Han J, Lombardi F (2017) Design of approximate radix-4 booth multipliers for error-tolerant computing. IEEE Trans Computer 66(8):1435–1441

    Article  MathSciNet  Google Scholar 

  43. Sunghyun Kim, Youngmin Kim (2017) High-performance and energy-efficient approximate multiplier for error-tolerant applications. In: Proceeding on 2017 international SOC design conference (ISOCC), Seoul, South Korea, pp 278–279

    Google Scholar 

  44. Pabithra S, Nageswari S (2017) Analysis of approximate multiplier using 15–4 compressor for error tolerant application. In: Proceeding on 2017 international conference on control, power, communication and computing technology (ICCPCCT), China, pp 410–415

    Google Scholar 

  45. Jiang H, Liu C, Lombardi F, Han J (2019) Low-power approximate unsigned multipliers with configurable error recovery. IEEE Trans Very Large Scale Integration Syst 66(1):189–202

    Google Scholar 

  46. Venkatachalam S, Adams E, Lee HJ, Ko S-B (2019) Design and analysis of area and power efficient approximate booth multipliers. IEEE Trans Comp 68(11):1697–1703

    Article  MathSciNet  Google Scholar 

  47. Zervakis G, Tsoumanis K, Xydis S, Soudris D, Pekmestzi K (2016) Design-efficient approximate multiplication circuits through partial product perforation. IEEE Trans Very Large Scale Integration Syst 24(10):3105–3117

    Article  Google Scholar 

  48. Jagadeeswara Rao E, Jayram Kumar K, Prasad TV (2018) Design of high-speed wallace tree multiplier using 8–2 and 4–2 adder compressor. Int J Eng Technol 2386–2390

    Google Scholar 

  49. Jagadeeswara Rao E, Rama Vasantha A (2018) Design and implementation of high speed modified Russian peasant multiplier using 8–2 adder compressors. Int J Res Electron Commun Eng 6:379–383

    Google Scholar 

  50. Liang J, Han J, Lombardi F (2013) New metrics for the reliability of approximate and probabilistic adders. IEEE Trans Computer 62(9):1760–1771

    Article  MathSciNet  Google Scholar 

  51. Akbari O, Kamal M, Afzali-Kusha A, Pedram M (2018) RAP-CLA: a reconfigurable approximate carry look-ahead adder. IEEE Trans Circ Syst II 65(8):1089–1093

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to P. Samundiswary .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2021 Springer Nature Singapore Pte Ltd.

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Jagadeeswara Rao, E., Samundiswary, P. (2021). A Review of Approximate Multipliers and Its Applications. In: Komanapalli, V.L.N., Sivakumaran, N., Hampannavar, S. (eds) Advances in Automation, Signal Processing, Instrumentation, and Control. i-CASIC 2020. Lecture Notes in Electrical Engineering, vol 700. Springer, Singapore. https://doi.org/10.1007/978-981-15-8221-9_128

Download citation

  • DOI: https://doi.org/10.1007/978-981-15-8221-9_128

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-15-8220-2

  • Online ISBN: 978-981-15-8221-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics