Skip to main content

On-Chip Health Monitoring Based on DE-Cluster in 2.5D ICs

  • Conference paper
  • First Online:
Book cover Bio-inspired Computing: Theories and Applications (BIC-TA 2019)

Part of the book series: Communications in Computer and Information Science ((CCIS,volume 1159))

  • 927 Accesses

Abstract

2.5-dimensional integrated circuits (2.5D ICs) are considered today as a promising solution for overcoming the bottlenecks introduced by technology scaling. In 2.5D ICs, the circuit failures are also inevitable to arise, mainly because of timing variations induced by runtime and process variations as well as transistor aging which result in path delay. However, the increase of density and complexity of circuits in 2.5D ICs brings more challenges to the detection of in-field path delay. It is feasible to track the delay of every critical path due to the unaffordable overhead of sensors or test patterns. In this paper, we propose to adopt the DE-based clustering algorithm to select a set of representative critical paths. Therefore, by only testing the delay of the selected small number of paths, the concerning parameters of these circuits are derived and used to infer the delay of a large number of the rest paths which are probably to fail due to timing variations. We simulate the proposed approach on benchmark circuits, and the results demonstrate the effectiveness for the collaborative optimization of representative critical path number and delay prediction accuracy.

This work was supported by the Fundamental Research Funds for the Central Universities. (Grant No. HIT. NSRIF. 2019083) and Guangxi Key Laboratory of Automatic Detecting Technology and Instruments (Grant No. YQ19203).

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Chaware, R., Nagarajan, K., Ramalingam, S.: Assembly and reliability challenges in 3D integration of 28 nm FPGA die on a large high density 65 nm passive interposer. In: IEEE 62nd Electronic Components and Technology Conference, pp. 279–283. IEEE, May 2012

    Google Scholar 

  2. Casale-Rossi, M., et al.: Panel: will 3D-IC remain a technology of the future... even in the future? In: Proceedings of the Conference on Design, Automation and Test in Europe, pp. 1526–1530. EDA Consortium (2013)

    Google Scholar 

  3. Wang, R., Chakrabarty, K., Eklow, B.: Scan-based testing of post-bond silicon interposer interconnects in 2.5-D ICs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 33(9), 1410–1423 (2014)

    Article  Google Scholar 

  4. Firouzi, F., Kiamehr, S., Tahoori, M., Nassif, S.: Incorporating the impacts of workload-dependent runtime variations into timing analysis. In: 2013 Design, Automation and Test in Europe Conference and Exhibition (DATE), pp. 1022–1025. IEEE (2013)

    Google Scholar 

  5. Aitken, R., Fey, G., Kalbarczyk, Z.T., Reichenbach, F., Reorda, M.S.: Reliability analysis reloaded: how will we survive? In: Proceedings of the Conference on Design, Automation and Test in Europe, pp. 358–367. EDA Consortium (2013)

    Google Scholar 

  6. Das, S., Abraham, A., Konar, A.: Automatic clustering using an improved differential evolution algorithm. IEEE Trans. Syst. Man Cybern. Part A Syst. Hum. 38(1), 218–237 (2007)

    Article  Google Scholar 

  7. Gupta, M.S., Rivers, J.A., Bose, P., Wei, G.Y., Brooks, D.: Tribeca: design for PVT variations with local recovery and fine-grained adaptation. In: Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, pp. 435–446. ACM (2009)

    Google Scholar 

  8. Li, Y., Mutlu, O., Gardner, D.S., Mitra, S.: Concurrent autonomous self-test for uncore components in system-on-chips. In: 2010 28th VLSI Test Symposium (VTS), pp. 232–237. IEEE (2010)

    Google Scholar 

  9. Agarwal, M., et al.: Optimized circuit failure prediction for aging: practicality and promise. In: 2008 IEEE International Test Conference, pp. 1–10. IEEE (2008)

    Google Scholar 

  10. Wang, S., Tehranipoor, M., Winemberg, L.: In-field aging measurement and calibration for power-performance optimization. In: Proceedings of the 48th Design Automation Conference, pp. 706–711. ACM (2011)

    Google Scholar 

  11. Cabe, A.C., Qi, Z., Wooters, S.N., Blalock, T.N., Stan, M.R.: Small embeddable NBTI sensors (SENS) for tracking on-chip performance decay. In: 2009 10th International Symposium on Quality Electronic Design, pp. 1–6. IEEE (2009)

    Google Scholar 

  12. Firouzi, F., Ye, F., Chakrabarty, K., Tahoori, M.B.: Representative critical-path selection for aging-induced delay monitoring. In: 2013 IEEE International Test Conference (ITC), pp. 1–10. IEEE (2013)

    Google Scholar 

  13. Xie, L., Davoodi, A.: Representative path selection for post-silicon timing prediction under variability. In: Proceedings of the 47th Design Automation Conference, pp. 386–391. ACM (2010)

    Google Scholar 

  14. Bhardwaj, S., Wang, W., Vattikonda, R., Cao, Y., Vrudhula, S.: Predictive modeling of the NBTI effect for reliable design. In: IEEE Custom Integrated Circuits Conference 2006, pp. 189–192. IEEE (2006)

    Google Scholar 

  15. Xiong, J., Zolotov, V., He, L.: Robust extraction of spatial correlation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(4), 619–631 (2007)

    Article  Google Scholar 

  16. Haghdad, K., Anis, M.: Power yield analysis under process and temperature variations. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 20(10), 1794–1803 (2011)

    Article  Google Scholar 

  17. Rogachev, A., Wan, L., Chen, D.: Temperature aware statistical static timing analysis. In: 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 103–110. IEEE (2011)

    Google Scholar 

  18. Lu, Y., Shang, L., Zhou, H., Zhu, H., Yang, F., Zeng, X.: Statistical reliability analysis under process variation and aging effects. In: 2009 46th ACM/IEEE Design Automation Conference, pp. 514–519. IEEE (2009)

    Google Scholar 

  19. Storn, R., Price, K.: Differential evolution-a simple and efficient heuristic for global optimization over continuous spaces. J. Global Optim. 11(4), 341–359 (1997). https://doi.org/10.1023/A:1008202821328

    Article  MathSciNet  MATH  Google Scholar 

  20. International Workshop on Logic and Synthesis Benchmark (IWLS 2005) (2005). http://iwls.org/

  21. International Test Conference Benchmark (ITC 1999) (1999). http://www.cad.polito.it/downloads/tools/itc99.html

  22. NANGATE. http://www.nangate.com

  23. Huang, W., Ghosh, S., Velusamy, S., Sankaranarayanan, K., Skadron, K., Stan, M.R.: HotSpot: a compact thermal modeling methodology for early-stage VLSI design. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 14(5), 501–513 (2006)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Libao Deng .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2020 Springer Nature Singapore Pte Ltd.

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Deng, L., Song, L., Sun, N. (2020). On-Chip Health Monitoring Based on DE-Cluster in 2.5D ICs. In: Pan, L., Liang, J., Qu, B. (eds) Bio-inspired Computing: Theories and Applications. BIC-TA 2019. Communications in Computer and Information Science, vol 1159. Springer, Singapore. https://doi.org/10.1007/978-981-15-3425-6_40

Download citation

  • DOI: https://doi.org/10.1007/978-981-15-3425-6_40

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-15-3424-9

  • Online ISBN: 978-981-15-3425-6

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics