Skip to main content

MULTICUBE: Multi-Objective Design Space Exploration of Multi-Core Architectures

  • Conference paper
  • First Online:
VLSI 2010 Annual Symposium

Abstract

Given the increasing complexity of Chip Multi-Processors (CMPs), a wide range of architecture parameters must be explored at design time to find the best trade-off in terms of multiple competing objectives (such as energy, delay, bandwidth, area, etc.) The design space of the target architectures is huge because it should consider all possible combinations of each hardware parameter (e.g., number of processors, processor issue width, L1 and L2 cache sizes, etc.). In this complex scenario, intuition and past experience of design architects is no more a sufficient condition to converge to an optimal design of the system. Indeed, Automatic Design Space Exploration (DSE) is needed to systematically support the analysis and quantitative comparison of a large amount of design alternatives in terms of multiple competing objectives (by means of Pareto analysis). The main goal of the MULTICUBE project consists of the definition of an automatic Design Space Exploration framework to support the design of next generation many-core architectures .

This project is supported by the EC under grant MULTICUBE FP7-216693.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 169.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 219.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 219.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    CoWare is now a part of Synopsys Inc.

References

  1. Mariani G, Avasare P, Vanmeerbeeck G, Ykman-Couvreur C, Palermo G, Silvano C, Zaccaria V (2010) An industrial design space exploration framework for supporting run-time resource management on multi-core systems. In: Proceedings of DATE 2010: IEEE design, automation and test conference in Europe. Dresden, Germany, pp 196–201, Mar 2010

    Google Scholar 

  2. Mariani G, Palermo G, Silvano C, Zaccaria V (2009) Multiprocessor system-on-chip design space exploration based on multi-level modeling techniques. In: Proceedings of IEEE IC-SAMOS’09—International Conference on Embedded Computer Systems: Architectures, MOdeling, and Simulation. Samos, Greece, pp 118–124, July 2009

    Google Scholar 

  3. Posadas H, Castillo J, Quijano D, Fernandez V, Villar E, Martinez M (2010) SystemC platform modeling for behavioral simulation and performance estimation of embedded systems. Behav Model Embedded Syst Technol: App Des Implementation pp 219–243

    Google Scholar 

  4. Mei B, Sutter B, Aa T, Wouters M, Kanstein A, Dupont S (2008) Implementation of a coarse-grained reconfigurable media processor for avc decoder. J Signal Process Syst 51(3):225–243

    Article  MATH  Google Scholar 

  5. Avasare P, Vanmeerbeeck G, Kavka C, Mariani G (2010) Practical approach to design space explorations using simulators at multiple abstraction levels. In: Design Automation Conference (DAC) User Track Sessions, Anaheim, USA, June 2010

    Google Scholar 

  6. Hwang CL, Masud ASM (1979) Multiple objective decision making—methods and applications: a state-of-the-art survey, vol 164. Lecture notes in economics and mathematical systems. Springer, Heidelberg

    Google Scholar 

  7. Okabe T, Jin Y, off B (2003) A critical survey of performance indices for multi-objective optimization. In: Proceedings of the IEEE congress on evolutionary computation, pp 878–885

    Google Scholar 

  8. Jaszkiewicz A, Czyak P (1998) Pareto simulated annealing—a metaheuristic technique for multiple-objective combinatorial optimisation. J Multi-Criteria Decis Anal (7):34–47

    Article  MATH  Google Scholar 

  9. Deb K, Agrawal S, Pratab A, Meyarivan T (2000) A fast and elitist multi-objective genetic algorithm: NSGA-II. In: Proceedings of the parallel problem solving from nature VI conference, pp 849–858

    Google Scholar 

  10. Poloni C, Pediroda V (1998) GA coupled with computationally expensive simulations: tools to improve efficiency. In: Quagliarella D, Périaux J, Poloni C, Winter G (eds) Genetic algorithms and evolution strategies in engineering and computer science. Recent advances and industrial applications, Chap. 13, Wiley, Chichester, pp 267–288

    Google Scholar 

  11. Smith KI, Everson RM, Fieldsend JE, Murphy C, Misra R (2008) Dominance-based multiobjective simulated annealing. Evol Comput, IEEE Trans 12(3):323–342

    Article  Google Scholar 

  12. Palermo G, Silvano C, Zaccaria V (2008) Discrete particle swarm optimization for multi-objective design space exploration. In: Proceedings of DSD 2008: IEEE Euromicro conference on digital system design architectures, methods and tools, Parma, Italy, pp 641–644, Sep 2008

    Google Scholar 

  13. Turco A, Kavka C (2010) MFGA: a genetic algorithm for complex real-world optimization problems. In: Proceedings of BIOMA 2010, the 4th international conference on bioinspired optimization methods and their applications,.Lubiana, Slovenia, To appear in May 2010

    Google Scholar 

  14. Joseph PJ, Vaswani K, Thazhuthaveetil MJ (2006) A predictive performance model for superscalar processors. In: MICRO 39: Proceedings of the 39th annual IEEE/ACM international symposium on microarchitecture. IEEE Computer Society. Washington, DC, pp 161–170

    Google Scholar 

  15. Joseph PJ, Vaswani K, Thazhuthaveetil MJ (2006) Construction and use of linear regression models for processor performance analysis. The twelfth international symposium on high-performance computer architecture. pp 99–108

    Google Scholar 

  16. Lee BC, Brooks DM (2006) Accurate and efficient regression modeling for microarchitectural performance and power prediction. In: Proceedings of the 12th international conference on architectural support for programming languages and operating systems 40(5):185–194

    Google Scholar 

  17. Bishop C (2002) Neural networks for pattern recognition. Oxford University Press, Oxford

    Google Scholar 

Download references

Acknowledgements

We would like to gratefully acknowledge our EC Project Officer, Panagiotis Tsarchopoulos and our reviewers: Alain Perbost, Andrzej Pulka and Kamiar Sehat for their valuable comments and guidance during the project review process.

Prabhat Avasare, Geert Vanmeerbeeck, Chantal Ykman and Maryse Wouters are also associated with Interdisciplinary Institute for BroadBand Technology, Belgium (IBBT), B-9050 Gent, Belgium.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Cristina Silvano .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer Science+Business Media B.V.

About this paper

Cite this paper

Silvano, C. et al. (2011). MULTICUBE: Multi-Objective Design Space Exploration of Multi-Core Architectures. In: Voros, N., Mukherjee, A., Sklavos, N., Masselos, K., Huebner, M. (eds) VLSI 2010 Annual Symposium. Lecture Notes in Electrical Engineering, vol 105. Springer, Dordrecht. https://doi.org/10.1007/978-94-007-1488-5_4

Download citation

  • DOI: https://doi.org/10.1007/978-94-007-1488-5_4

  • Published:

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-94-007-1487-8

  • Online ISBN: 978-94-007-1488-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics