Skip to main content

Guarded Power Gating in a Multi-core Setting

  • Conference paper

Part of the book series: Lecture Notes in Computer Science ((LNISA,volume 6161))

Abstract

Power gating is an increasingly important actuation knob in chip-level dynamic power management. In a multi-core setting, a key design issue in this context, is determining the right balance of gating at the unit-level (within a core) and at the core-level. Another issue is how to architect the predictive control associated with such gating, in order to ensure maximal power savings at minimal performance loss. We use an abstract, analytical modeling framework to understand and discuss the fundamental tradeoffs in such a design. We consider plausible ranges of software/hardware control latencies and workload characteristics to understand when and where it makes sense to disable one or both of the gating mechanisms (i.e. intra- and inter-core). The overall goal of this research is to devise predictive power gating algorithms in a multi-core setting, with built-in “guard” mechanisms to prevent negative outcomes: e.g. a net increase in power consumption or an unacceptable level of performance loss.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. First the Tick, Now the Tock: Next Generation Intel Microarchite cture (Nehalem). Tech. rep., Intel Whitepaper (2008)

    Google Scholar 

  2. Hu, Z., Buyuktosunoglu, A., Srinivasan, V., Zyuban, V., Jacobson, H., Bose, P.: Microarchitectural techniques for power gating of execution units. In: Proceedings of International Symposium on Low Power Electronics and Design (ISLPED (August 2004)

    Google Scholar 

  3. Leverich, J., Monchiero, M., Talwar, V., Ranganathan, P., Kozyrakis, C.: Power management of datacenter workloads using per-core power gating. IEEE Computer Architecture Letters 8(2) (July-December 2009)

    Google Scholar 

  4. Lungu, A., Bose, P., Buyuktosunoglu, A., Sorin, D.: Dynamic power gating with quality guarantees. In: Proceedings of International Symposium on Low Power Electronics and Design (ISLPED (August 2009)

    Google Scholar 

  5. Youssef, A., Anis, M., Elmasry, M.: Dynamic standby leakage prediction for leakage-tolerant microprocessor functional units. In: Proceedings of International Symposium on Microarchitecture (MICRO) (December 2006)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Madan, N., Buyuktosunoglu, A., Bose, P., Annavaram, M. (2011). Guarded Power Gating in a Multi-core Setting. In: Varbanescu, A.L., Molnos, A., van Nieuwpoort, R. (eds) Computer Architecture. ISCA 2010. Lecture Notes in Computer Science, vol 6161. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-24322-6_17

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-24322-6_17

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-24321-9

  • Online ISBN: 978-3-642-24322-6

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics