Skip to main content

Case Study: Constraint Programming in a System Level Synthesis Framework

  • Conference paper
  • 1677 Accesses

Part of the book series: Lecture Notes in Computer Science ((LNPSE,volume 8656))

Abstract

This article presents a case study of using a constraint programming solver in a system level synthesis framework called SYLVA. The solver is used to find the repetition vector of a synchronous data flow graph and serving as the design space exploration engine, which rapidly finds qualified system implementations by solving a constraint satisfaction optimization problem. Each system implementation is a combination of a number of function implementation instances and their cycle accurate execution schedules. The problem to be solved is automatically generated based on the user inputs: 1) a system model to be synthesized, 2) a library containing all the usable function implementations, 3) the performance/cost constraints, and 4) the optimization objectives. Use of constraints programming technique enabled a low cost development of design space exploration engine in addition to gaining ease of use.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Lee, E., Messerschmitt, D.: Synchronous Data Flow. Proceedings of the IEEE 75(9) (September 1987)

    Google Scholar 

  2. Li, S., Farahini, N., Hemani, A., Rosvall, K., Sander, I.: System Level Synthesis of Hardware for DSP Applications Using Pre-Characterized Function Implementations. In: International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), pp. 1–10 (September 2013)

    Google Scholar 

  3. Bonfietti, A., Benini, L., Lombardi, M., Milano, M.: An efficient and complete approach for throughput-maximal sdf allocation and scheduling on multi-core platforms. In: Design, Automation Test in Europe Conference Exhibition (DATE), pp. 897–902 (March 2010)

    Google Scholar 

  4. Li, S., Hemani, A.: Global Interconnect and Control Synthesis in System Level Architectural Synthesis Framework. In: Euromicro Conference on Digital System Design (DSD), pp. 11–17 (September 2013)

    Google Scholar 

  5. Li, S., Malik, J., Liu, S., Hemani, A.: A Code Generation Method for System-Level Synthesis on ASIC, FPGA and Manycore CGRA. In: Proceedings of the First International Workshop on Many-core Embedded Systems (2013)

    Google Scholar 

  6. Operations Research Tools from Google, https://code.google.com/p/or-tools/

  7. Gecode: generic constraint development environment, http://www.gecode.org/

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer International Publishing Switzerland

About this paper

Cite this paper

Li, S., Hemani, A. (2014). Case Study: Constraint Programming in a System Level Synthesis Framework. In: O’Sullivan, B. (eds) Principles and Practice of Constraint Programming. CP 2014. Lecture Notes in Computer Science, vol 8656. Springer, Cham. https://doi.org/10.1007/978-3-319-10428-7_60

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-10428-7_60

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-10427-0

  • Online ISBN: 978-3-319-10428-7

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics