Skip to main content

Power Gating and State Retention Applied to SOC Standby Power Management

  • Chapter
  • First Online:
Frequency References, Power Management for SoC, and Smart Wireless Interfaces
  • 2330 Accesses

Abstract

Power Gating, PG, is a well-established technique for mitigating leakage power when a subsystem in a SoC is in some form of standby power state with clocks stopped. Register contents are lost in basic PG, requiring a reset on re-powering. State Retention Power Gating, SRPG, trades off a little more power when in standby to retain some or all of the register state values in a circuit in exchange for a more efficient and responsive wake-up and continued execution with known state. This paper addresses promising approaches to enhance PG and SRPG, which are appropriate to digital designers without the need to resort to full-custom design techniques. The aim is also to increase designer understanding of how the essentially analog circuit challenges can be abstracted for a richer set of standby power management schemes. Example implementation experience and results are described for silicon technology demonstrators developed as part of the work

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Similar content being viewed by others

Notes

  1. 1.

    UPF is a power-intent standard developed by Synopsys Inc., Mentor Graphics Inc. and Magma Inc. [7].

  2. 2.

    CPF is a power-intent standard developed primarily by Cadence Inc. [8].

  3. 3.

    Academic papers traditionally proposed both Header and Footer series transistors but in industrial usage, where on-resistance is typically the key parameter to avoid degrading performance unduly, only one power-gate device can usually be tolerated.

References

  1. T. Mudge, Power: A first-class architectural design constraint. Computer 34(4), 52–58 (2001). doi 10.1109/2.917539 http://dx.doi.org/10.1109/2.917539

    Google Scholar 

  2. S. Mutoh et al., A 1v multi-threshold voltage CMOS DSP with an efficient power management technique for mobile phone applications, in ISSCC, (1996), pp. 168–169

    Google Scholar 

  3. M. Keating, D. Flynn et al., Low power methodology manual – for system-on-chip design. (Springer, 2007) ISBN: 978-0-387-71818-7 http://www.lpmm-book.org/

  4. D. Flynn, A. Gibbons, Design for state retention: Strategies and case studies. SNUG San Jose 2008, Track TA2

    Google Scholar 

  5. D. Flynn, High performance state retention with power gating applied to CPU subsystems – design approaches and silicon evaluation. Poster in Hot Chips 24 archives (2012). http://www.hotchips.org/wp-content/uploads/hc_archives/hc24/HC24-Posters/HC24.30.p10-State-Retention-Gating-Flynn-ARM.pdf

  6. D. Flynn, An ARM perspective on addressing low- power energy-efficient SoC designs, in Proceedings of the 2012 ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED ‘12). (ACM, New York, 2012), pp. 73–78. doi: 10.1145/2333660.2333680 http://doi.acm.org/10.1145/2333660.2333680

  7. UPF is IEEE1801 (not 1891) – the official IEEE for 1801–2009 (which includes UPF-1.0) is here: http://standards.ieee.org/findstds/standard/1801-2009.html

  8. Si2 Common Power Format, CPF, specification http://www.si2.org/?page=811

  9. EUROPRACTICE mini@sic programme: http://www.europractice-ic.com/prototyping_minisic.php

  10. M. Stan, Low-threshold CMOS circuits with low standby current, in Proceedings of the International Symposium on Low-Power Electronics and Design. (IEEE/ACM, Monterey, 1998), pp. 97–99

    Google Scholar 

  11. S. Yang et al., Reliable state retention-based embedded processors through monitoring and recovery. Trans. Comp.-Aided Des. Integ. Cir. Sys. 30(12), 1773–1785 (2011). doi: http://dx.doi.org/10.1109/TCAD.2011.2166590

  12. J. Mistry et al., Sub-clock power-gating technique for minimizing leakage power during active mode. DATE 2011 http://eprints.ecs.soton.ac.uk/21768/

Download references

Acknowledgements

Thanks are due in particular to:

 Jatin Mistry, Sheng Yang, PhD researchers at the University of Southampton, UK, plus staff members Dr Matthew Swabey, Dr Reuben Wilcock and Prof Bashir Al-Hashimi.

 James Myers, John Biggs, David Howard, Karthik Shivashankar and Anand Savanth at ARM Ltd, Cambridge UK

 Staff at the EUROPRACTICE (E.U. FP7) programme in the IC Service organization for mini@sic MPW fabrication [9]

 Synopsys Inc for the University of Southampton research sponsorship of the EDA tools and laboratory under the “Charles Babbage Award”

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to David Flynn .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Flynn, D. (2014). Power Gating and State Retention Applied to SOC Standby Power Management. In: Baschirotto, A., Makinwa, K., Harpe, P. (eds) Frequency References, Power Management for SoC, and Smart Wireless Interfaces. Springer, Cham. https://doi.org/10.1007/978-3-319-01080-9_12

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-01080-9_12

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-01079-3

  • Online ISBN: 978-3-319-01080-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics