Skip to main content

RattlesnakeJake: A Fast and Accurate Pre-alignment Filter Suitable for Computation-in-Memory

  • Conference paper
  • First Online:
Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS 2023)

Part of the book series: Lecture Notes in Computer Science ((LNCS,volume 14385))

Included in the following conference series:

Abstract

Significant improvements in pre-alignment filter accuracy have shifted the execution bottleneck of short-read sequence alignment to the filtering step for many genomics datasets. Current pre-alignment filters move data from memory to the processing units, and when rejection is determined, this results in wasted energy and time. This paper presents RattlesnakeJake, a hardware/software co-designed accelerator that speeds up and reduces the energy consumption of pre-alignment filtering and hence sequence alignment. RattlesnakeJake achieves this by (1) proposing a lightweight and hardware-friendly filtering algorithm, (2) adopting the Computation-In-Memory paradigm to avoid unnecessary data movement, and (3) exploiting resistive memories (memristors) to perform the low-level operations required by the proposed algorithm. Our preliminary results for RattlesnakeJake show an accuracy at the state-of-the-art (SotA) level and a significant improvement in the execution time of sequence alignment, irrespective of the evaluated dataset. The improvement for filtering varies from dataset to dataset and goes up to \(\sim \)7\(\times \) and \(\sim \)80\(\times \), compared to SotA accelerators on GPU and CPU, respectively.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 89.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    We use the term filter and pre-alignment filter interchangeably hereafter.

References

  1. Alser, M., Hassan, H., Kumar, A., Mutlu, O., Alkan, C.: Shouji: a fast and efficient pre-alignment filter for sequence alignment. Bioinformatics 35(21), 4255–4263 (2019)

    Article  Google Scholar 

  2. Alser, M., Hassan, H., Xin, H., Ergin, O., Mutlu, O., Alkan, C.: GateKeeper: a new hardware architecture for accelerating pre-alignment in DNA short read mapping. Bioinformatics 33(21), 3355–3363 (2017)

    Article  Google Scholar 

  3. Alser, M., et al.: From molecules to genomic variations: accelerating genome analysis via intelligent algorithms and architectures. Comput. Struct. Biotechnol. J. (2022)

    Google Scholar 

  4. Alser, M., Mutlu, O., Alkan, C.: MAGNET: understanding and improving the accuracy of genome pre-alignment filtering. arXiv preprint arXiv:1707.01631 (2017)

  5. Alser, M., Shahroodi, T., Gómez-Luna, J., Alkan, C., Mutlu, O.: SneakySnake: a fast and accurate universal genome pre-alignment filter for CPUs, GPUs, and FPGAs. Bioinformatics 36(22–23), 5282–5290 (2020)

    Google Scholar 

  6. Ankit, A., et al.: PUMA: a programmable ultra-efficient memristor-based accelerator for machine learning inference. In: ASPLOS (2019)

    Google Scholar 

  7. Aryan, Z., et al.: Moving genomics to routine care: an initial pilot in acute cardiovascular disease. Circ. Genomic Precis. Med. 13(5), 406–416 (2020)

    Article  Google Scholar 

  8. Bloom, J.S., et al.: Massively scaled-up testing for SARS-CoV-2 RNA via next-generation sequencing of pooled and barcoded nasal and saliva samples. Nat. Biomed. Eng. 5(7), 657–665 (2021)

    Article  Google Scholar 

  9. Branton, D., et al.: The potential and challenges of nanopore sequencing. Nat. Biotechnol. 26(10), 1146–1153 (2008)

    Article  Google Scholar 

  10. Chen, E., et al.: Advances and future prospects of spin-transfer torque random access memory. IEEE Trans. Magnet. 46(6), 1873–1878 (2010)

    Article  Google Scholar 

  11. Chi, P., et al.: PRIME: a novel processing-in-memory architecture for neural network computation in ReRAM-based main memory. ISCA (2016)

    Google Scholar 

  12. Clark, M.M., et al.: Diagnosis of genetic diseases in seriously ill children by rapid whole-genome sequencing and automated phenotyping and interpretation. Sci. Transl. Med. 11(489), eaat6177 (2019)

    Google Scholar 

  13. Consortium, G.R.: Human reference genome GRCh38.p14. https://www.ncbi.nlm.nih.gov/assembly?term=GRCh38 &cmd=DetailsSearch

  14. Crochemore, M., Landau, G.M., Ziv-Ukelson, M.: A subquadratic sequence alignment algorithm for unrestricted scoring matrices. SIAM J. Comput. 32(6), 1654–1673 (2003)

    Article  MathSciNet  MATH  Google Scholar 

  15. Fei, Xia, Dan, Zou, Lina, Lu., Xin, Man, Chunlei, Zhang: FPGASW: accelerating large-scale smith–waterman sequence alignment application with backtracking on FPGA linear systolic array. Interdisc. Sci. Comput. Life Sci. 10(1), 176–188 (2017). https://doi.org/10.1007/s12539-017-0225-8

    Article  Google Scholar 

  16. Ferreira, J.D., et al.: pLUTo: In-DRAM lookup tables to enable massively parallel general-purpose computation. arXiv preprint (2021)

    Google Scholar 

  17. Ginsburg, G.S., Phillips, K.A.: Precision medicine: from science to value. Health Aff. 37(5), 694–701 (2018)

    Article  Google Scholar 

  18. Ginsburg, G.S., Willard, H.F.: Genomic and personalized medicine: foundations and applications. Transl. Res. 154(6), 277–287 (2009)

    Article  Google Scholar 

  19. Hach, F., et al.: mrsFAST: a cache-oblivious algorithm for short-read mapping. Nat. Meth. 7(8), 576–577 (2010)

    Article  Google Scholar 

  20. Hamdioui, S., et al.: Memristor based computation-in-memory architecture for data-intensive applications. In: DATE (2015)

    Google Scholar 

  21. Kang, M., Gonugondla, S.K., Patil, A., Shanbhag, N.R.: A multi-functional in-memory inference processor using a standard 6T SRAM array. JSSC 53, 642–655 (2018)

    Google Scholar 

  22. Kim, J.S., et al.: GRIM-filter: fast seed location filtering in DNA read mapping using processing-in-memory technologies. BMC Genomics 19(2), 23–40 (2018)

    Google Scholar 

  23. Kim, W., Chattopadhyay, A., Siemon, A., Linn, E., Waser, R., Rana, V.: Multistate memristive tantalum oxide devices for ternary arithmetic. Sci. Rep. 6(1), 1–9 (2016)

    Google Scholar 

  24. Kingsmore, S.F., et al.: A genome sequencing system for universal newborn screening, diagnosis, and precision medicine for severe genetic diseases. Am. J. Hum. Genet. 109(9), 1605–1619 (2022)

    Article  Google Scholar 

  25. Lassmann, T., Sonnhammer, E.L.: Kalign-an accurate and fast multiple sequence alignment algorithm. BMC Bioinform. 6(1), 1–9 (2005)

    Article  Google Scholar 

  26. Le, V.T.M., Diep, B.A.: Selected insights from application of whole genome sequencing for outbreak investigations. Curr. Opin. Crit. Care 19(5), 432 (2013)

    Article  Google Scholar 

  27. Lee, B.C., Ipek, E., Mutlu, O., Burger, D.: Phase change memory architecture and the quest for scalability. Commun. ACM 53(7), 99–106 (2010)

    Article  Google Scholar 

  28. Li, S., Xu, C., Zou, Q., Zhao, J., Lu, Y., Xie, Y.: Pinatubo: a processing-in-memory architecture for bulk bitwise operations in emerging non-volatile memories. In: DAC (2016)

    Google Scholar 

  29. Luo, R., et al.: SOAP3-DP: fast, accurate and sensitive GPU-based short read aligner, PloS one (2013)

    Google Scholar 

  30. MNEMOSENE partners: The MNEMOSENE project (2020). http://www.mnemosene.eu/. Accessed 02 June 2022

  31. Nikolayevskyy, V., Kranzer, K., Niemann, S., Drobniewski, F.: Whole genome sequencing of mycobacterium tuberculosis for detection of recent transmission and tracing outbreaks: a systematic review. Tuberculosis 98, 77–85 (2016)

    Article  Google Scholar 

  32. Pages-Gallego, M., de Ridder, J.: Comprehensive and standardized benchmarking of deep learning architectures for basecalling nanopore sequencing data. bioRxiv (2022)

    Google Scholar 

  33. Quick, J., et al.: Real-time, portable genome sequencing for Ebola surveillance. Nature 530(7589), 228–232 (2016)

    Article  Google Scholar 

  34. Seshadri, V., et al.: Ambit: In-memory accelerator for bulk bitwise operations using commodity DRAM technology. In: MICRO (2017)

    Google Scholar 

  35. Shahroodi, T., Miao, M., Zahedi, M., Wong, S., Hamdioui, S.: SieveMem: a computation-in-memory architecture for fast and accurate pre-alignment. In: ASAP (2023)

    Google Scholar 

  36. Shahroodi, T., et al.: Demeter: a fast and energy-efficient food profiler using hyperdimensional computing in memory. IEEE Access 10, 82493–82510 (2022)

    Article  Google Scholar 

  37. Shahroodi, T., Zahedi, M., Singh, A., Wong, S., Hamdioui, S.: KrakenOnMem: a memristor-augmented HW/SW framework for taxonomic profiling. In: ICS (2022)

    Google Scholar 

  38. Singh, A., et al.: Cim-based robust logic accelerator using 28 nm STT-MRAM characterization chip tape-out. In: 2022 IEEE 4th International Conference on Artificial Intelligence Circuits and Systems (AICAS), pp. 451–454. IEEE (2022)

    Google Scholar 

  39. Šošić, M., Šikić, M.: Edlib: A C/C++ Library for Fast, exact sequence alignment using edit distance. Bioinformatics 33(9), 1394–1395 (2017)

    Article  Google Scholar 

  40. Synopsys Inc: Synopsys Design Compiler. https://www.synopsys.com/support/training/rtl-synthesis/design-compiler-rtl-synthesis.html

  41. Unknown: Homo sapiens (human). https://www.ebi.ac.uk/ena/data/view/ERR240727

  42. Wang, K., Alzate, J., Amiri, P.K.: Low-power non-volatile spintronic memory: STT-RAM and beyond. J. Phys D: Appl. Phys. 46, 074003 (2013)

    Article  Google Scholar 

  43. Waser, R., Dittmann, R., Staikov, G., Szot, K.: Redox-based resistive switching memories-nanoionic mechanisms, prospects, and challenges. Adv. Mater. 21, 2632–2663 (2009)

    Article  Google Scholar 

  44. Wooley, J.C., Godzik, A., Friedberg, I.: A primer on metagenomics. PLoS Comput. Biol. 6, e1000667 (2010)

    Article  Google Scholar 

  45. Xia, Q., Yang, J.J.: Memristive crossbar arrays for brain-inspired computing. Nat. Mater. 18, 309–323 (2019)

    Article  Google Scholar 

  46. Xie, L., et al.: Scouting logic: a novel memristor-based logic design for resistive computing. In: ISVLSI (2017)

    Google Scholar 

  47. Xin, H., et al.: Shifted hamming distance: a fast and accurate SIMD-friendly filter to accelerate alignment verification in read mapping. Bioinformatics 31(10), 1553–1560 (2015)

    Article  Google Scholar 

  48. Yelagandula, R., et al.: Multiplexed detection of SARS-COV-2 and other respiratory infections in high throughput by SARSeq. Nat. Commun. 12(1), 1–17 (2021)

    Article  Google Scholar 

  49. Zahedi, M., Custers, G., Shahroodi, T., Gaydadjiev, G., Wong, S., Hamdioui, S.: SparseMEM: energy-efficient design for in-memory sparse-based graph processing. In: DATE (2023)

    Google Scholar 

  50. Zahedi, M., Shahroodi, T., Custers, G., Singh, A., Wong, S., Hamdioui, S.: System design for computation-in-memory: from primitive to complex functions. In: VLSI-SoC (2022)

    Google Scholar 

  51. Zahedi, M., Shahroodi, T., Wong, S., Hamdioui, S.: Efficient signed arithmetic multiplication on memristor-based crossbar. IEEE Access (2023)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Taha Shahroodi .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2023 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Shahroodi, T., Miao, M., Zahedi, M., Wong, S., Hamdioui, S. (2023). RattlesnakeJake: A Fast and Accurate Pre-alignment Filter Suitable for Computation-in-Memory. In: Silvano, C., Pilato, C., Reichenbach, M. (eds) Embedded Computer Systems: Architectures, Modeling, and Simulation. SAMOS 2023. Lecture Notes in Computer Science, vol 14385. Springer, Cham. https://doi.org/10.1007/978-3-031-46077-7_14

Download citation

  • DOI: https://doi.org/10.1007/978-3-031-46077-7_14

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-031-46076-0

  • Online ISBN: 978-3-031-46077-7

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics