Skip to main content

Energy-Efficient Spike-Based Scalable Architecture for Next-Generation Cognitive AI Computing Systems

  • Conference paper
  • First Online:
Ubiquitous Networking (UNet 2021)

Part of the book series: Lecture Notes in Computer Science ((LNCCN,volume 12845))

Included in the following conference series:

  • 507 Accesses

Abstract

In recent years, neuromorphic computing systems have taken a range of design approaches to exploit known computational principles of cognitive neuro-biological systems. Profiting from the brain’s event-driven nature modeled in spiking neural networks (SNN), these systems have been able to reduce power consumption. However, as neuromorphic systems require high integration to ensemble a functional silicon brain-like, moving to 3D integrated circuits (3D-ICs) with the three-dimensional network on chip (3D-NoC) interconnect is a suitable approach that allows for scalable designs, lower communication cost, and lower power consumption. This paper presents the design and evaluation of an energy-efficient spike-based scalable neuromorphic architecture. Evaluation results on MNIST classification, using the K-means-based multicast routing algorithm (KMCR), show that the proposed system maintains high accuracy with a small spike arrival window over various configurations.

This work is supported by the University of Aizu, Competitive Research Funding (CRF), Ref. UoA-P6-2020.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 64.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 84.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Bear, M.F., Connors, B.W., Paradiso, M.A.: Neuroscience: Exploring the Brain, 4th edn., pp. 81–108. Lippincott Williams and Wilkins, Baltimore (2016)

    Google Scholar 

  2. Ben Abdallah, A.: Advanced Multicore Systems-On-Chip: Architecture, On-Chip Network, Design, chap. 6, pp. 175–199. Springer, Singapore (2017)

    Google Scholar 

  3. Carrillo, S., et al.: Scalable hierarchical network-on-chip architecture for spiking neural network hardware implementations. IEEE Trans. Parallel Distrib. Syst. 24(12), 2451–2461 (2013). https://doi.org/10.1109/tpds.2012.289

    Article  Google Scholar 

  4. Dang, K.N., Ahmed, A.B., Okuyama, Y., Abdallah, A.B.: Scalable design methodology and online algorithm for TSV-cluster defects recovery in highly reliable 3D-NoC systems. IEEE Trans. Emerg. Top. Comput. 8(3), 577–590 (2017). https://doi.org/10.1109/TETC.2017.2762407

    Article  Google Scholar 

  5. Dang, K.N., Ahmed, A.B., Okuyama, Y., Abdallah, A.B.: Scalable design methodology and online algorithm for TSV-cluster defects recovery in highly reliable 3D-NoC systems. IEEE Trans. Emerg. Top. Comput. 8(3), 577–590 (2020)

    Article  Google Scholar 

  6. Dang, K.N., Ahmed, A.B., Tran, X.T., Okuyama, Y., Abdallah, A.B.: A comprehensive reliability assessment of fault-resilient network-on-chip using analytical model. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 25(11), 3099–3112 (2017). https://doi.org/10.1109/tvlsi.2017.2736004

  7. Davies, M., et al.: Loihi: a neurophic manycore processor with on-chip learning. IEEE Micro 38(1), 82–99 (2018). https://doi.org/10.1109/MM.2018.112130359

    Article  Google Scholar 

  8. Ehsan, M.A., Zhou, Z., Yi, Y.: Modeling and analysis of neuronal membrane electrical activities in 3D neuromorphic computing system. In: 2017 IEEE International Symposium on Electromagnetic Compatibility Signal/Power Integrity (EMCSI), pp. 745–750, August 2017. https://doi.org/10.1109/ISEMC.2017.8077966

  9. Fourcaud-Trocmé, N.: Encyclopedia of Computational Neuroscience: Integrate and Fire Models, Deterministic, pp. 1–9. Springer, New York (2013)

    Google Scholar 

  10. Frenkel, C., Lefebvre, M., Legat, J.D., Bol, D.: A 0.086-mm\(^2\) 12.7-pj/SOP 64k-synapse 256-neuron online-learning digital spiking neuromorphic processor in 28-nm CMOS. IEEE Trans. Biomed. Circ. Syst. 13(1), 145–158 (2019). https://doi.org/10.1109/TBCAS.2018.2880425

  11. Frenkel, C., Legat, J.D., Bol, D.: Morphic: a 65-nm 738k-synapse/mm 2 quad-core binary-weight digital neuromorphic processor with stochastic spike-driven online learning. IEEE Trans. Biomed. Circuits Syst. 13, 999–1010 (2019). https://doi.org/10.1109/TBCAS.2019.2928793

    Article  Google Scholar 

  12. Furber, S., Temple, S.: Neural systems engineering. J. Royal Soc. Interf. 4(13), 193–206 (2006). https://doi.org/10.1098/rsif.2006.0177

  13. Guthaus, M.R., Stine, J.E., Ataei, S., Chen, B., Wu, B., Sarwar, M.: OpenRAM: an open-source memory compiler. In: 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), vol. 34, pp. 1–6 (2017). https://doi.org/10.1145/2966986.2980098

  14. Hodgkin, A.L., Huxley, A.F.: A quantitative description of membrane current and its application to conduction and excitation in nerve. Bull. Math. Biol. 52(1), 25–71 (1990). https://doi.org/10.1007/BF02459568

    Article  Google Scholar 

  15. Hopkins, M., García, G., Bogdan, P., Furber, S.: Spiking neural networks for computer vision. Interface Focus 8(4), 128–136 (2018). https://doi.org/10.1098/rsfs.2018.0007

    Article  Google Scholar 

  16. Kim, J.K., Knag, P., Chen, T., Zhang, Z.: A 640m pixel/s 3.65mw sparse event-driven neuromorphic object recognition processor with on-chip learning. In: 2015 Symposium on VLSI Circuits (VLSI Circuits), pp. C50–C51 (2015). https://doi.org/10.1109/VLSIC.2015.7231323

  17. Kim, Y., Zhang, Y., Li, P.: A reconfigurable digital neuromorphic processor with memristive synaptic crossbar for cognitive computing. ACM J. Emerg. Technol. Comput. Syst. 11(4), 1–25 (2015). https://doi.org/10.1145/2700234

    Article  Google Scholar 

  18. LeCun, Y., Cortes, C., Burges, C.: MNIST handwritten digit database. http://yann.lecun.com/exdb/mnist/. Accessed 23 Feb 2021

  19. Maass, W.: Networks of spiking neurons: the third generation of neural network models. Neural Netw. 10(9), 1659–1671 (1997). https://doi.org/10.1016/s0893-6080(97)00011-7

    Article  Google Scholar 

  20. NanGate Inc.: Nangate Open Cell Library 45 nm. http://www.nangate.com/. Accessed 05 May 2021

  21. NCSU Electronic Design Automation: FreePDK3D45 3D-IC process design kit. http://www.eda.ncsu.edu/wiki/FreePDK3D45:Contents. Accessed 05 May 2021

  22. Ogbodo, M., Dang, K., Abdallah, A.: On the design of a fault-tolerant scalable three dimensional NoC-based digital neuromorphic system with on-chip learning. IEEE Access 9(1), 64331–64345 (2021). https://doi.org/10.1109/ACCESS.2021.3071089

    Article  Google Scholar 

  23. Ogbodo, M., Vu, T., Dang, K., Abdallah, A.: Light-weight spiking neuron processing core for large-scale 3D-NoC based spiking neural network processing systems. In: 2020 IEEE International Conference on Big Data and Smart Computing (BigComp), pp. 133–139 (2020). https://doi.org/10.1109/BigComp48618.2020.00-86

  24. Rahimi Azghadi, M., Iannella, N., Al-Sarawi, S.F., Indiveri, G., Abbott, D.: Spike-based synaptic plasticity in silicon: design, implementation, application, and challenges. Proc. IEEE 102(5), 717–737 (2014). https://doi.org/10.1109/JPROC.2014.2314454

    Article  Google Scholar 

  25. Rodrigues de Oliveira Neto, J., Cerquinho Cajueiro, J.P., Ranhel, J.: Neural encoding and spike generation for spiking neural networks implemented in FPGA. In: 2015 International Conference on Electronics, Communications and Computers (CONIELECOMP), pp. 55–61 (2015)

    Google Scholar 

  26. Seo, J., et al.: A 45nm CMOS neuromorphic chip with a scalable architecture for learning in networks of spiking neurons. In: 2011 IEEE Custom Integrated Circuits Conference (CICC), pp. 1–4, September 2011. https://doi.org/10.1109/CICC.2011.6055293

  27. Valencia, D., Thies, J., Alimohammad, A.: Frameworks for efficient brain-computer interfacing. IEEE Trans. Biomed. Circuits Syst. 13(6), 1714–1722 (2019). https://doi.org/10.1109/TBCAS.2019.2947130

    Article  Google Scholar 

  28. Vu, T.H., Ikechukwu, O.M., Ben Abdallah, A.: Fault-tolerant spike routing algorithm and architecture for three dimensional NoC-based neuromorphic systems. IEEE Access 7, 90436–90452 (2019)

    Article  Google Scholar 

  29. Vu, T.H., Okuyama, Y., Abdallah, A.B.: Comprehensive analytic performance assessment and k-means based multicast routing algorithm and architecture for 3D-NoC of spiking neurons. ACM J. Emerg. Technol. Comput. Syst. 15(4), 1–28 (2019). https://doi.org/10.1145/3340963

    Article  Google Scholar 

  30. Yang, S., et al.: Scalable digital neuromorphic architecture for large-scale biophysically meaningful neural network with multi-compartment neurons. IEEE Trans. Neural Netw. Learn. Syst. 31(1), 148–162 (2020). https://doi.org/10.1109/TNNLS.2019.2899936

    Article  Google Scholar 

  31. Yang, S.: Real-time neuromorphic system for large-scale conductance-based spiking neural networks. IEEE Trans. Cybern. 49(7), 2490–2503 (2019). https://doi.org/10.1109/TCYB.2018.2823730

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ogbodo Mark Ikechukwu .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2021 Springer Nature Switzerland AG

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Ikechukwu, O.M., Dang, K.N., Ben Abdallah, A. (2021). Energy-Efficient Spike-Based Scalable Architecture for Next-Generation Cognitive AI Computing Systems. In: Elbiaze, H., Sabir, E., Falcone, F., Sadik, M., Lasaulce, S., Ben Othman, J. (eds) Ubiquitous Networking. UNet 2021. Lecture Notes in Computer Science(), vol 12845. Springer, Cham. https://doi.org/10.1007/978-3-030-86356-2_19

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-86356-2_19

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-86355-5

  • Online ISBN: 978-3-030-86356-2

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics