Skip to main content

Logic Synthesis Overview

  • Chapter
The Best of ICCAD

Abstract

The dream of generating efficient logic implementations from higher level specifications originated with the works of Boole,[57] Shannon [58], Quine and McCluskey[35, 36]. Interest in logic synthesis grew during the 60’s and 70’s, as the computers being designed became more complex. Although many theoretical advances were made, the first examples of practical synthesis did not occur until the later 70’s. Programmable logic arrays, PLAs, were minimized with the program, MINI, [15] and used on many product chips in IBM. LSS[10, 9] was the first example of production synthesis of gate array chips. It was based on local transformations and compiler techniques for optimizing logic, mapping gates to a specific technology. It was used on hundreds of product chips in IBM and was rewritten later with many significant refinements as BooleDozer[11]. These optimization methods were also used in the first offerings from Synop-sys[12, 13], a company formed in 1986 (originally Optimal Solutions) to market synthesis technology developed at General Electric. Synopsys succeeded in bringing synthesis to the commercial market enabling a dramatic advance in design productivity. The years that followed saw many important developments that produced improvements in execution speed, quality of results and ability to deal with real technologies. Today, logic synthesis is a critical part of almost all chip development projects.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 259.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 329.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 329.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. R. K. Brayton, G. D. Hachtel, C. T. McMullen and A. Sangiovanni-Vincentelli. Logic Minimization Algorithms for VLSI Synthesis. Springer Science+Business Media New York, 1984.

    Book  MATH  Google Scholar 

  2. R. K. Brayton and C. McMullen. The Decomposition and Factorization of Boolean Expressions. Proceedings of the International Symposium on Circuits and Systems, May 1982.

    Google Scholar 

  3. R. K. Brayton, G. D. Hachtel, and A. L. Sangiovanni- Vincentelli. Multilevel Logic Sythesis. Proceedings of the IEEE, vol.78, pages 264–300, Feb. 1990.

    Article  Google Scholar 

  4. R. Brayton, E. Detjens, S. Krishna, T. Ma, P. McGeen, L. Pei, N. Phillips, R. Rudell, R. Segal, A. Wang, R. Yung, A. Sangiovanni- Vincentelli. Multiple-level logic optimization system ICCAD 1986, pp 356–359.

    Google Scholar 

  5. R. Brayton, R. Rudell, A. L. Sangiovanni-Vincentelli, and A. Wang. MIS: A Multiple-Level Logic Optimization System. IEEE Transactions on Computer-Aided Design, pages 1062–1081, November 1987.

    Google Scholar 

  6. L. Berman and L. Trevillyan. Improved Logic Optimization Using Global Flow Analysis Extended Abstract. ICCAD 1988.

    Google Scholar 

  7. Jason Cong, Yuzheng Ding. An optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs. ICCAD 1992, pages 48–53.

    Google Scholar 

  8. O. Coudert, H. Fraisse and J. C. Madre. Towards a symbolic logic minimization algorithm. The Proceedings of the VLSI Design 1993 Conference, pages 329–334, Jan. 1993.

    Google Scholar 

  9. John A. Darringer, Daniel Brand, John V. Gerbi, William H. Joyner Jr., Louise Trevillyan. LSS: A System for Production Logic Synthesis. IBM Journal of Research and Development, pages 537–545, Sept. 1984.

    Google Scholar 

  10. J. A. Darringer, J. W. Joyner, C. Berman, L. Trevillyan. Logic Syntesis Through Local Transformations. IBM Journal of Research and Development, Vol. 25, no.4., July 1981.

    Google Scholar 

  11. L. Stok, D. S. Kung, D. Brand, A. D. Drumm, A. J. Sullivan, L. N. Reddy, N. Hieter, D. J. Geiger, H. H. Chao, and P. J. Osier. BooleDozer: Logic Synthesis for ASICs. IBM Journal of Research and Development, vol. 40, no. 4, pp. 407–430, July 1996.

    Article  Google Scholar 

  12. A. J. deGeus and W. Cohen. A Rule-Based System for Optimizing Combinational Logic. IEEE Design and Test, pages 22–32 August 1985.

    Google Scholar 

  13. D. Gregory, K. Bartlett, A. J. deGeus. Automatic Generation of Combinatorial Logic from a Functional Specification. Proc. 1985 Int. Symp. on Circ. and Syst., Kyoto, Japan, June 1985.

    Google Scholar 

  14. C. M. Hoffman and M. J. O’Donnell. Pattern Matching in Trees. Journal of the Association for Computing Machinery, pages 68–95, January 1982.

    Google Scholar 

  15. S. J. Hong, R. G. Cain, D. L. Ostapko. MINI: A Heuristic Approach for Logic Minimization. IBM Journal of Research and Development, pages 443–458,1974.

    Google Scholar 

  16. D. Jongeneel, R. Otten, Y. Watanabe, R. K. Brayton. Area and Search Space Control for Technology Mapping. Proceedings 2000 Design Automation Conference 37th DAC, pages 86–91, June 2000.

    Google Scholar 

  17. K. Keutzer and D. Richards. Computational complexity of logic synthesis and optimization. Proceedings of International Workshop on Logic Synthesis, May 1989.

    Google Scholar 

  18. K. Keutzer. Technology binding and local optimization by dag mapping. Proceedings of the 24th ACM/IEEE Design Automation Conference, pages 341–347, June 1987.

    Google Scholar 

  19. Y. Kukimoto, R. K. Brayton, P. Sawkar. Delay-optimal technology mapping by dag covering. Proceedings of the 35th ACM/IEEE Design Automation Conference, June 1998.

    Google Scholar 

  20. E. Lehman, Y. Watanabe, J. Grodstein, H. Harkness. Logic Decomposition during Technology Mapping. ICCAD 1995.

    Google Scholar 

  21. P. McGeer, J. Sanghavi, R. Brayton and A. Sangiovanni-Vincentelli. Espresso-signature: a new exact minimizer for logic functions. Transactions of VLSI, pages 432–440, Dec. 1993.

    Google Scholar 

  22. R Rudell and A Sangiovanni-Vincentelli. Espresso-MV: Algorithms for multiple-valued logic minimization. Proceedings of the IEEE 1985 Custom Integrated Circuits Conference, pages 230–4, May, 1985.

    Google Scholar 

  23. R. Rudell, and A. L. Sangiovanni-Vincentelli. Exact Minimization of Multiple-Valued Functions. ICCAD 1986, pp. 352–355

    Google Scholar 

  24. R. L. Rudell and A. Sangiovanni-Vincentelli. Multiple-valued minimization for PLA optimization. IEEE Transaction on CAD, 1988.

    Google Scholar 

  25. E. M. Sentovich, K. J. Singh, L. Lavagno, C. Moon, R. Murgai, A. Saldanha, H. Sayoj, P. R. Stephan, R. K. Brayton, and A. L. Sangiovanni-Vincentelli. SIS: A System for Sequential Circuit Synthesis. Technical Report UCB/ERL M92/41, Electronics Research Laboratory, University of California, Berkeley, CA 94720, May 1992.

    Google Scholar 

  26. J. Vasudevamurthy and J. Rajski. A Method for Concurrent Decomposition and Factorization of Boolean Expressions ICCAD 1990.

    Google Scholar 

  27. Randal E. Bryant. Graph-Based Algorithms for Boolean Function Manipulation. IEEE Transactions on Computing, pages 677–691, Aug. 1986.

    Google Scholar 

  28. P. McGeer and R. K. Brayton, Efficient Algorithms for Computing the Longest Viable Path in a Combinational Network, Circuits and Systems, Design Automation Conference, June 1989.

    Google Scholar 

  29. J. P. Marques-Silva and K. A. Sakallah. GRASP: A New Search Algorithm for Satisfiability, Proceedings of the ACM/IEEE International Conference on Computer-Aided Design pp. 220–227, November 1996.

    Google Scholar 

  30. G. De Micheli, Performance-Oriented Synthesis in the Yorktown Silicon Compiler, ICCAD, pages 138–141 Nov. 1986.

    Google Scholar 

  31. M. Moskewicz, C. Madigan, Y. Zhao, L. Zhang, and S. Malik. Chaff: Engineering an Efficient SAT Solver, Proc. of the Design Automation Conference, pp. 530–535, June 2001.

    Google Scholar 

  32. K. S. Brace, R. L. Rudell, and R. E. Bryant. Efficient implementation of a BDD package. Design Automation Conf., pages 40–45, June 1990.

    Google Scholar 

  33. H.-C. Chen and D. Du. Path Sensitization in Critical Path Problem. Proceedings of Iut’l Conference on Computer-Aided Design, pages 208–211, November 1991.

    Google Scholar 

  34. S. Devadas, K. Keutzer, and S. Malik. Delay computation for combinational logic circuits: theory and algorithms IEEE International Conference on Computer-Aided Design, Nov. 1991.

    Google Scholar 

  35. W. V. Quine. The problem of simplifying truth functions, American Mathematical Monthly, vol. 59 no. 8, pp. 521–531, Oct. 1952.

    Article  MathSciNet  MATH  Google Scholar 

  36. E. J. McCluskey, Jr., Minimization of Boolean Functions, Bell System Tech. J., vol. 35 no. 6, pp. 1417–1444, Nov. 1956.

    MathSciNet  Google Scholar 

  37. R. K. Brayton, G. D. Hachtel, L. Hemachandra, R. Newton and A. Sangiovanni- Vincen-telli, A Comparison of Logic Minimization Strategies Using ESPRESSO: An APL Program Package for Partitioned Logic Minimization, Proceedings of the International Symposium on Circuits and Systems, pages 42–48, Rome Italy, April 1982.

    Google Scholar 

  38. L. Lavagno, S. Malik, R. K. Brayton and A. Sangiovanni-Vincentelli, MIS-MV: Optimization of Multi-Level Logic with Multiple-valued Inputs, Proc. of ICCAD, pp. 560–563, November 1990.

    Google Scholar 

  39. H. Savoj and R. K. Brayton, Observability Relations and Observability Don’t Cares, ICCAD, November 1991.

    Google Scholar 

  40. R. Brayton, R. Rudell, A. Sangiovanni-Vincentelli, and A. Wang, Multi-Level Logic Optimization and the Rectangle Covering Problem ICCAD Nov. 1987

    Google Scholar 

  41. S. Yamashita, H. Sawada, and A. Nagoya A New Method to Express functional Permissibilities for LUT based FPGAs and Its Applications ICCAD Nov. 1996

    Google Scholar 

  42. S. Muroga, Y. Kambayashi, H. C. Lai, and J. N. Culliney, The Transduction Method - Design of Logic Networks based on Permissible Functions IEEE Trans., on Comp., Oct., 1989.

    Google Scholar 

  43. M. Gao, J.-H. Jiang, Y. Jiang, Y. Li, S. Sinha, and R. Brayton, MVSIS, International Workshop on Logic Synthesis June 2001

    Google Scholar 

  44. R. Marculescu, D. Marculescu, and M. Pedram Switching Activity Analysis Considering Spatiotemporal Correlations ICCAD Nov. 1994.

    Google Scholar 

  45. G. De Micheli, R. Brayton, and A. Sangiovanni-Vincentelli. KISS: A Program for Optimal State Assignement for FSMs, ICCAD Nov. 1984.

    Google Scholar 

  46. K.-T. Cheng and Luis A. Entrena, Multi-Level Logic Optimization by Redundancy Addition and Removal, Proc. European Conf. on Design Automation, pages 373–377, Feb. 1993.

    Google Scholar 

  47. W. Kunz and D. K. Pradhan, Recursive Learning: An Attractive Alternative to the Decision Tree for Test Generation Digital Circuits Proc. Int’l Test Conference pages 816–825, October 1992.

    Google Scholar 

  48. Carl Pixley Calculating Resetability and Reset Sequences ICCAD Nov. 1991

    Google Scholar 

  49. E. M. Sentovich and R. K. Brayton Don’t Cares and Global Flow Analysis of Boolean Networks ICCAD, Nov. 1988

    Google Scholar 

  50. Chih-Wei Chang and Malgorzata Marek-Sadowska. Single-Pass Redundancy Addition and Removal, ICCAD, pages 606–609, Nov. 2001

    Google Scholar 

  51. L. Trevillyan, W. Joyner and L. Berman Global Flow Analysis in Automatic Logic Design IEEE Trans. on Comp. Jan. 1986

    Google Scholar 

  52. D. Brand Redundancy and Don’t Cares in Logic Synthesis IEEE Trans. on Comp. Oct. 1983

    Google Scholar 

  53. Kwang-Ting Cheng, Srinivas Devadas and Kurt Keutzer. Robust Delay-Fault Test Generation and Synthesis for Testability Under A Standard Scan Design Methodology. DAC, pages 80–86, June 1991: 80–86

    Google Scholar 

  54. Alexander Saldanha, Robert K. Brayton and Alberto L. Sangiovanni-Vincentelli. Equivalence of Robust Delay-Fault and Single Stuck-Fault Test Generation. DAC, pages 173–176, June 1992

    Google Scholar 

  55. Kurt Keutzer, Sharad Malik and Alexander Saldanha. Is Redundancy Necessary to Reduce Delay. DAC, pages 228–234, June 1990

    Google Scholar 

  56. E. Lehman, Y. Watanabe, J. Grodstein and H. Harkness, Logic Decomposition During Technology Mapping, IEEE Transactions on CAD/ICAS, Vol. 16, No. 8, pp. 813–834, August 1997

    Google Scholar 

  57. G. Boole. An Investigation of the Laws of Thought, on which are founded the Mathematical Theories of Logic and Probabilities London: Walton andMoberly. 1854

    Google Scholar 

  58. Shannon, C.E. A symbolic analysis of relay and switching circuits. Transactions of the American Institute of Electrical Engineers pages 713–723, 1938

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2003 Springer Science+Business Media New York

About this chapter

Cite this chapter

Brayton, R.K., Darringer, J.A. (2003). Logic Synthesis Overview. In: Kuehlmann, A. (eds) The Best of ICCAD. Springer, Boston, MA. https://doi.org/10.1007/978-1-4615-0292-0_14

Download citation

  • DOI: https://doi.org/10.1007/978-1-4615-0292-0_14

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4613-5007-1

  • Online ISBN: 978-1-4615-0292-0

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics