Skip to main content

Dual-Layer Cooperative Error Control for Transient Error

  • Chapter
  • First Online:
Transient and Permanent Error Control for Networks-on-Chip

Abstract

Datalink-layer adaptive error control methods have been investigated in Chap. 3. In this chapter, we extend the error control adaptation to a two-layer approach communicating between the datalink and network layers to further reduce energy consumption. We employ end-to-end error control in the network interface in low noise conditions, and enhance the error control capability in high noise regions by turning on hop-to-hop error control in the router. Simply combining end-to-end error control with hop-to-hop error control typically results in huge energy consumption. Consequently, we apply the concept of product code to the NoC, performing cross-layer cooperative error control. Another major contribution is a protocol to switch between network-layer ECC and datalink-layer ECC at runtime.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Bertozzi D, Benini L, De Micheli G (2005) Error control schemes for on-chip communication links: the energy-reliability tradeoff. IEEE Trans Computer-Aided Design of Integr Circuits and Syst (TCAD) 24:818–831

    Article  Google Scholar 

  2. Zimmer H, Jantsch A (2003) A fault model notation and error-control scheme for switch-to-switch buses in a network-on-chip. in Proc CODES + ISSS’03 188–193

    Google Scholar 

  3. Lehtonen T, Lijieberg P, Plosila J () Analysis of forward error correction methods for nanoscale networks-on-chip. Proc Nano-Net 1–5

    Google Scholar 

  4. Fu B, Ampadu P (2009) On Hamming product codes with type-II hybrid ARQ for on-chip interconnects. IEEE Trans Circuits Syst I: Regular Papers 56:2042–2054

    Article  MathSciNet  Google Scholar 

  5. Rossi D, Angelini P, Metra C (2007) Configurable error control scheme for NoC signal integrity. in Proc IOLTS’07 43–48

    Google Scholar 

  6. Li L, Vijaykrishnan N, Kandemir M, Jrwin MJ (2003) Adaptive error protection for energy efficiency. in Proc ICCAD’03 2–7

    Google Scholar 

  7. Yu Q, Ampadu P (2009) Adaptive error control for nanometer scale NoC links. IET Computers & Digital Tech-Special issue on advances in nanoelectronics circuits and syst 3:643–659

    Google Scholar 

  8. Duan C, Cordero V, Khatri SP (2009) Efficient on-chip crosstalk avoidance CODEC design. IEEE Trans Very Large Scale Integr (VLSI) Syst 17:551–560

    Article  Google Scholar 

  9. Fu B, Ampadu P (2010) Exploiting parity computation latency for on-chip crosstalk reduction. IEEE Trans Circuits Syst II: Express Briefs 57:399–403

    Article  Google Scholar 

  10. Ganguly A, Pande PP, Belzer B, Grecu C (2008) Design of low power & reliable networks on chip through joint crosstalk avoidance and multiple error correction coding. J Electron Test 24:67–81

    Article  Google Scholar 

  11. Murali S, Theocharides T, Vijaykrishnan N, Irwin MJ, Benini L, De Micheli G (2005) Analysis of error recovery schemes for networks on chips. IEEE Design & Test of Computers 22:434–442

    Article  Google Scholar 

  12. Ali M, Welzl M, Hessler S, Hellebrand S (2007) An efficient fault tolerant mechanism to deal with permanent and transient failures in a network on chip. Intl J High Performance Syst Archi 1:113–123

    Article  Google Scholar 

  13. PARSEC benchmark [Online]: http://parsec.cs.princeton.edu

  14. Salminen E, Kulmala A, Hämäläinen TD (2008) Survey of network-on-chip proposals. White paper, OCP-IP 1–13

    Google Scholar 

  15. Arizona State University, Predictive Technology Model [Online]: http://www.eas.asu.edu/~ptm

  16. Sanusi A, Bayoumi MA (2009) Smart-flooding: A novel scheme for fault-tolerant NoCs. in Proc IEEE SoC Conf 259–262

    Google Scholar 

  17. Sridhara S, Shanbhag NR (2005) Coding for system-on-chip networks: a unified framework. IEEE Trans Very Large Scale Integr (VLSI) Syst 13:655–667

    Article  Google Scholar 

  18. Lan Y-C, Chen MC, Chen W-D, Chen S-J, Hu Y-H (2009) Performance-energy tradeoffs in reliable NoCs. in Proc ISQED’09 141–146

    Google Scholar 

  19. Yu Q, Ampadu P (2010) A flexible parallel simulator for networks-on-chip with error control. IEEE Trans on Computer-Aided Design of Integr Circuits and Syst (TCAD) 29:103–116

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Qiaoyan Yu .

Rights and permissions

Reprints and permissions

Copyright information

© 2012 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Yu, Q., Ampadu, P. (2012). Dual-Layer Cooperative Error Control for Transient Error. In: Transient and Permanent Error Control for Networks-on-Chip. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-0962-5_5

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-0962-5_5

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-0961-8

  • Online ISBN: 978-1-4614-0962-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics