Skip to main content
  • 3506 Accesses

Abstract

In this chapter, we first study the impact of P/G TSVs on the power supply noise as well as 3D IC layouts. We perform sign-off static IR-drop analysis on GDSII layouts of 2D and 3D IC designs using commercial-grade tools. We also explore the impact of 3D P/G network topology on IR-drop by varying P/G TSV pitch. Next, we study a non-regular P/G TSV placement algorithm to further reduce the number of P/G TSVs used, while satisfying the given IR-drop noise requirement. Compared with the conventional regular structure, our non-regular P/G TSV placement algorithm reduces the P/G TSV count, wirelength, and footprint area by 59.3, 3.4, and 3.5 % on average, respectively. Next, we study the TSV RC variation impact on 3D power delivery network (PDN). First, we model TSV RC variation due to process variation. Then, we perform sign-off power supply noise analysis of 3D PDN in GDSII layouts which contain power/ground (P/G) TSV RC variation model. We explore the effect of TSV RC variation range, the number of variation sources (P/G TSV count), the number of C4 bumps, and TSV size on the robustness of PDN under TSV RC variation. Our results show that TSV RC variations cause negligible influence on 3D PDN due to much smaller parasitic values of TSVs compared with that of entire PDN.

The materials presented in this chapter are based on [5, 6].

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. G.V. der Plas et al., Design issues and considerations for low-cost 3D TSV IC technology, in ISSCC on Digest Technical Papers, San Francisco, 2010

    Google Scholar 

  2. P. Enquist, G. Fountain, C. Petteway, A. Hollingsworth, H. Grady, Low cost of ownership scalable copper direct bond interconnect 3D IC technology for three dimensional integrated circuit applications, in IEEE International 3D System Integration Conference, San Francisco, 2009

    Google Scholar 

  3. M.B. Healy, S.K. Lim, Power delivery system architecture for many-tier 3D systems, in IEEE Electronic Components and Technology Conference, Las Vegas, 2010

    Google Scholar 

  4. G. Huang, M. Bakir, A. Naeemi, H. Chen, J.D. Meindl, Power delivery for 3D chips stacks: physical modeling and design implication, in Proceedings of the IEEE Electrical Performance of Electronic Packaging, Atlanta, 2007, pp. 205–208

    Google Scholar 

  5. M. Jung, S.K. Lim, A study of IR-drop noise issues in 3D ICs with through-silicon-vias, in IEEE International 3D System Integration Conference, Münich, 2010

    Google Scholar 

  6. M. Jung, S. Panth, S.K. Lim, A study of TSV variation impact on power supply noise, in IEEE International Interconnect Technology Conference, San Jose, 2011

    Google Scholar 

  7. G. Katti, M. Stucchi, K.D. Meyer, W. Dehaene, Electrical modeling and characterization of through silicon via for three-dimensional ICs. IEEE Trans. Electron Device 57, 256–262 (2010)

    Article  Google Scholar 

  8. N.H. Khan, S.M. Alam, S. Hassoun, System-level comparison of power delivery design for 2D and 3D ICs, in IEEE Electronic Components and Technology Conference, San Diego, 2009

    Google Scholar 

  9. D.H. Kim, K. Athikulwongse, S.K. Lim, A study of through-silicon-via impact on the 3D stacked IC layout, in Proceedings of the IEEE International Conference on Computer-Aided Design, San Jose, 2009

    Google Scholar 

  10. Y.-J. Lee, M. Healy, S.K. Lim, Co-design of reliable signal and power interconnects in 3D stacked ICs, in Proceedings of the IEEE International Interconnect Technology Conference, Sapporo, 2009

    Google Scholar 

  11. D. Stark, M. Horowitz, Techniques for calculating currents and voltages in VLSI power supply networks. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 9, 126–132 (1990)

    Article  Google Scholar 

  12. X.D.S. Tan, C.J.R. Shi, Fast power/ground network optimization based on equivalent circuit modeling, in Proceedings of the ACM Design Automation Conference, Las Vegas, 2001

    Google Scholar 

  13. A.W. Topol, J.D. C.L. Tulipe, L. Shi, D.J. Frank, K. Bernstein, S.E. Steen, A. Kumar, G.U. Singco, A.M. Young, K.W. Guarini, M. Ieong, Three-dimensional integrated circuits. IBM J. Res. Dev. 50, 491–506 (2006)

    Article  Google Scholar 

  14. H. Yu, J. Ho, L. He, Simultaneous power and thermal integrity driven via stapling in 3D ICs, in Proceedings of the IEEE International Conference on Computer-Aided Design, San Jose, 2006

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Lim, S.K. (2013). Power Delivery Network Design for 3D IC. In: Design for High Performance, Low Power, and Reliable 3D Integrated Circuits. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-9542-1_5

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-9542-1_5

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-9541-4

  • Online ISBN: 978-1-4419-9542-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics