Skip to main content
  • 3391 Accesses

Abstract

In this chapter, we study two methods used in 3D IC placement that effectively exploit the die-to-die thermal coupling in the stack. First, TSVs are spread on each die to reduce the local power density and vertically aligned across dies simultaneously to increase thermal conductivity to the heatsink. Second, we move high-power logic cells to the location that has higher conductivity to the heatsink while moving TSVs in the upper dies so that high-power cells are vertically overlapping below the TSVs. These methods are employed in a force-directed 3D placement successfully and outperform several state-of-the-art placers published in recent literature.

The materials presented in this chapter are based on [1].

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 89.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    We attempted combining these two methods, but the results were not consistent.

  2. 2.

    This task is challenging due to the discrepancy among the settings and assumptions made in each work. However, we made our best effort to provide fair and meaningful comparison, including in-depth discussions with the authors.

References

  1. K. Athikulwongse, M. Pathak, S.K. Lim, Exploiting die-to-die thermal coupling in 3D IC placement, in Proceedings of the ACM Design Automation Conference, San Francisco, 3–6 June 2012 pp. 741–746

    Google Scholar 

  2. J. Cong, G. Luo, Y. Shi, Thermal-aware cell and through-silicon-via co-placement for 3D ICs, in Proceedings of the ACM Design Automation Conference, San Diego, 5–9 June 2011, pp. 670–675

    Google Scholar 

  3. B. Goplen, S. Sapatnekar, Efficient thermal placement of standard cells in 3D ICs using a force directed approach, in Proceedings of the IEEE International Conference on Computer-Aided Design, San Jose, 9–13 Nov 2003, pp. 86–89

    Google Scholar 

  4. B. Goplen, S. Sapatnekar, Placement of 3D ICs with thermal and interlayer via considerations, in Proceedings of the ACM Design Automation Conference, San Diego, 4–8 June 2007, pp. 626–631

    Google Scholar 

  5. D.H. Kim, K. Athikulwongse, S.K. Lim, A study of through-silicon-via impact on the 3D stacked IC layout, in Proceedings of the IEEE International Conference on Computer-Aided Design, San Jose, 2–5 Nov 2009, pp. 674–680

    Google Scholar 

  6. P. Leduc et al., Challenges for 3D IC integration: bonding quality and thermal management, in Proceedings of the IEEE International Interconnect Technology Conference, Burlingame, 4–6 June 2007, pp. 210–212

    Google Scholar 

  7. B. Obermeier, F.M. Johannes, Temperature-aware global placement, in Proceedings of the Asia and South Pacific Design Automation Conference, Yokohama, 27–30 Jan 2004, pp. 143–148

    Google Scholar 

  8. M. Pathak, Y.-J. Lee, T. Moon, S.K. Lim, Through-silicon-via management during 3D physical design: when to add and how many? in Proceedings of the IEEE International Conference on Computer-Aided Design, San Jose, 7–11 Nov 2010, pp. 387–394

    Google Scholar 

  9. P. Spindler, U. Schlichtmann, F.M. Johannes, Kraftwerk2–A fast force-directed quadratic placement approach using an accurate net model. IEEE Trans. Comput. Aided Des. Integr. Circuit Syst. 27(8):1398–1411 (2008)

    Article  Google Scholar 

  10. G. Van der Plas et al., Design issues and considerations for low-cost 3D TSV IC technology, in IEEE International Solid-State Circuits Conference on Digest Technical Paper, San Francisco, 7–11 Feb 2010, pp. 148–149

    Google Scholar 

  11. C. Xu et al., Fast 3-D thermal analysis of complex interconnect structures using electrical modeling and simulation methodologies, in Proceedings of the IEEE International Conference on Computer-Aided Design, San Jose, 2–5 Nov 2009, pp. 658–665

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Lim, S.K. (2013). Thermal-Aware Gate-Level Placement for 3D IC. In: Design for High Performance, Low Power, and Reliable 3D Integrated Circuits. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-9542-1_11

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-9542-1_11

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-9541-4

  • Online ISBN: 978-1-4419-9542-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics