Skip to main content

Design for Test Techniques for Asynchronous NULL Conventional Logic (NCL) Circuits

  • Conference paper
Advances and Innovations in Systems, Computing Sciences and Software Engineering

Abstract

Conventional ATPG algorithms would fail when applied to asynchronous circuits due to the absence of a global clock and presence of more state holding elements that synchronize the control and data paths, leading to poor fault coverage. This paper presents three DFT implementations for the asynchronous NULL Conventional Logic (NCL) paradigm, with the following salient features: 1) testing with commercial DFT tools is shown to be feasible; 2) this yields a high test coverage; and 3) minimal area overhead is required. The first technique incorporates XOR gates for inserting test points; the second method uses a scan latch scheme for improving observability; and in the third scheme, scan latches are inserted in the internal gate feedback paths. The approaches have been automated, which is essential for large systems; and are fully compatible with industry standard tools.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. I. Blunno, L. Lavagno, “Automated synthesis of micro-pipelines from behavioral Verilog HDL”, Proc. International Symposium on Advanced Research in Asynchronous Circuits and Systems, IEEE, Apr 2000.

    Google Scholar 

  2. S.C. Smith, R.F. DeMara, J.S. Yuan, D. Ferguson, and D. Lamb, “Optimization of NULL Convention Self-Timed Circuits,” Integration, the VLSI Journal, Vol. 37/3, pp. 135-165, August 2004.

    Article  Google Scholar 

  3. B. Bhaskaran, V. Satagopan, W.K. Al-Assadi, S. C. Smith, “Implementation of Design for Tests for NCL Designs”, CDES Jun, 2005.

    Google Scholar 

  4. V. Satagopan, B. Bhaskaran, W.K. Al-Assadi, S.C. Smith, “Automation in Design for Test for Asynchronous Null Conventional Logic (NCL) Circuits”, NASA Symposium on VLSI Design, Oct 2005.

    Google Scholar 

  5. Roig, “Formal Verification and Testing of Asynchronous Circuits”, Ph.D. Dissertation, Universitat Politecnica de Catalunya, May 1997.

    Google Scholar 

  6. C.H. Berkel, M. Rem, and R. Saeijs, “VLSI Programming,” 1988 IEEE International Conference on Computer Design: VLSI in Computers and Processors, pp. 152-156, 1998.

    Google Scholar 

  7. S.H. Unger, Asynchronous Sequential Switching Circuits, Wiley, New York, 1969.

    Google Scholar 

  8. K. M. Fant and S. A. Brandt, “NULL Convention Logic: A Complete and Consistent Logic for Asynchronous Digital Circuit Synthesis,” International Conference on Application Specific Systems, Architectures, and Processors, pp. 261-273, 1996.

    Google Scholar 

  9. C. L. Seitz, “System Timing,” in Introduction to VLSI Systems, Addison-Wesley, pp. 218-262, 1980.

    Google Scholar 

  10. M.L. Bushnell, V.D. Agrawal, Essentials of Electronic Testing for Digital, Memory, and Mixed-Signal VLSI Circuits, Kluwer Academic Publishers, Nov 2000.

    Google Scholar 

  11. A. Kondratyev, L. Sorensen, A. Streich, “Testing of Asynchronous Designs by Inappropriate Means. Synchronous approach.” IEEE2002.

    Google Scholar 

  12. W.K. Al-Assadi et. al, “Faulty Behavior of Storage Elements and its Effects on Sequential Circuits”, IEEE Transactions on VLSI Systems, Dec 1993.

    Google Scholar 

  13. Y.S. Kang, K.H. Huh and S. Kang, “New Scan Design Of Asynchronous Sequential Circuits”, Dept. of Electrical Eng., Yonsei University.

    Google Scholar 

  14. M. Kishinevsky, A. Kondratyev, L. Lavagno, A. Taubin, “Partial-Scan Delay Fault Testing of Asynchronous Circuits”, IEEE, Nov 1998.

    Google Scholar 

  15. M. Ligthart, K. Fant, R. Smith, A. Taubin, A. Kondratyev, “Asynchronous design using commercial HDL synthesis tools”, Proc. International Symposium on Advanced Research in Asynchronous Circuits and Systems, IEEE, Apr 2000.

    Google Scholar 

  16. E.B. Eichelberger, E.Lindbloom et al, Structured Logic Testing, Prentice-Hall, Inc. 1991.

    Google Scholar 

  17. S. Banerjee, S.T. Chakradhar, R.K. Roy, “Synchronous test generation model for asynchronous circuits”, 9th International Conference on VLSI Design, IEEE, Jan 1996.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2007 Springer

About this paper

Cite this paper

Satagopan, V., Bhaskaran, B., Al-Assadi, W.K., Smith, S.C., Kakarla, S. (2007). Design for Test Techniques for Asynchronous NULL Conventional Logic (NCL) Circuits. In: Elleithy, K. (eds) Advances and Innovations in Systems, Computing Sciences and Software Engineering. Springer, Dordrecht. https://doi.org/10.1007/978-1-4020-6264-3_78

Download citation

  • DOI: https://doi.org/10.1007/978-1-4020-6264-3_78

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-1-4020-6263-6

  • Online ISBN: 978-1-4020-6264-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics