Skip to main content

Power – Performance Optimization for Custom Digital Circuits

  • Conference paper

Part of the book series: Lecture Notes in Computer Science ((LNPSE,volume 3728))

Abstract

This paper presents a modular optimization framework for custom digital circuits in the power – performance space. The method uses a static timer and a nonlinear optimizer to maximize the performance of digital circuits within a limited power budget by tuning various variables such as gate sizes, supply, and threshold voltages. It can employ different models to characterize the components. Analytical models usually lead to convex optimization problems where the optimality of the results is guaranteed. Tabulated models or an arbitrary timing signoff tool can be used if better accuracy is desired and although the optimality of the results cannot be guaranteed, it can be verified against a near-optimality boundary. The optimization examples are presented on 64-bit carry-lookahead adders. By achieving the power optimality of the underlying circuit fabric, this framework can be used by logic designers and system architects to make optimal decisions at the microarchitecture level.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Penzes, P.I., Martin, A.J.: Energy. Delay Efficiency of VLSI Computations. In: Proc. Great Lakes Symposium on VLSI, pp. 104–111 (2002)

    Google Scholar 

  2. Markovic, D., et al.: Methods for True Energy. Performance Optimization. IEEE Journal of Solid State Circuits 39(8), 1282–1293 (2004)

    Article  Google Scholar 

  3. Conn, A.R., et al.: Gradient - Based Optimization of Custom Circuits Using a Static Timing Formulation. In: Proceedings of Design Automation Conference DAC 1999, pp. 452–459 (1999)

    Google Scholar 

  4. Fishburn, J.P., Dunlop, A.E.: TILOS: A Posynomial Programming Approach to Transistor Sizing. In: IEEE International Conference on Computer - Aided Design ICCAD 1985, pp. 326–328 (1985)

    Google Scholar 

  5. Sutherland, I., Sproul, R., Harris, D.: Logical Effort. Morgan Kaufmann, San Francisco (1999)

    Google Scholar 

  6. Synopsys® Design Compiler User.s Manual Version, 12 (2004)

    Google Scholar 

  7. Boyd, S., Vandenberghe, L.: Convex Optimization. Cambridge University Press, Cambridge (2003)

    Google Scholar 

  8. Zlatanovici, R.: Master thesis, UC Berkeley (2002)

    Google Scholar 

  9. Mathworks, Matlab® Optimization Toolbox User.s Guide Version 3

    Google Scholar 

  10. Rabaey, J.M., Chandrakasn, A., Nikolic, B.: Digital Integrated Circuits: A Design Perspective, 2nd edn. Prentice-Hall, Englewood Cliffs (2003)

    Google Scholar 

  11. Kogge, P.M., Stone, H.S.: A Parallel Algorithm for Efficient Solution of a General Class of Recursive Equations. IEEE Transactions on Computer’s, 786–793 (August 1973)

    Google Scholar 

  12. Park, J., Ngo, H.C., Silberman, J.A., Dhong, S.H.: 470ps 64bit Parallel Binary Adder. In: 2000 Symposium on VLSI Circuits, pp. 192–193 (2000)

    Google Scholar 

  13. Han, T., Carlson, D.A.: Fast Area Efficient VLSI Adders. In: 8th Symposium on Computer Arithmetic, pp. 49–56 (1987)

    Google Scholar 

  14. Naffziger, S.: A Sub-nanosecond 0.5μm 64b Adder Design. In: International Solid-State Circuits Conference, pp. 210–211 (1996)

    Google Scholar 

  15. Toh, K.Y., Ko, P.K., Meyer, R.G.: An Engineering Model for Short-channel CMOS Devices. IEEE Journal of Solid State Circuits 23(4), 950–958 (1998)

    Article  Google Scholar 

  16. Garrett, J.: Master thesis, UC Berkeley (2004)

    Google Scholar 

  17. Zlatanovici, R., Nikolic, B.: Power - Performance Optimal 64-bit Carry-lookahead Adders. In: European Solid State Circuit Conference ESSCIRC 2003, pp. 321–324 (2003)

    Google Scholar 

  18. Dao, H.Q., Zeydel, B.R., Oklobdzija, V.G.: Energy Minimization Method for Optimal Energy - Delay Extraction. In: European Solid State Circuit Conference ESSCIRC 2003, pp. 177–180 (2003)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2005 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Zlatanovici, R., Nikolić, B. (2005). Power – Performance Optimization for Custom Digital Circuits. In: Paliouras, V., Vounckx, J., Verkest, D. (eds) Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation. PATMOS 2005. Lecture Notes in Computer Science, vol 3728. Springer, Berlin, Heidelberg. https://doi.org/10.1007/11556930_42

Download citation

  • DOI: https://doi.org/10.1007/11556930_42

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-29013-1

  • Online ISBN: 978-3-540-32080-7

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics