Skip to main content
Log in

Effect of Copper TSV Annealing on Via Protrusion for TSV Wafer Fabrication

  • Published:
Journal of Electronic Materials Aims and scope Submit manuscript

Three-dimensional (3D) integrated circuit (IC) technologies are receiving increasing attention due to their capability to enhance microchip function and performance. While current efforts are focused on the 3D process development, adequate reliability of copper (Cu) through-silicon vias (TSVs) is essential for commercial high-volume manufacturing. Annealing a silicon device with copper TSVs causes high stresses in the copper and may cause a “pumping” phenomenon in which copper is forced out of the blind TSV to form a protrusion. This is a potential threat to the back-end interconnect structure, particularly for low-κ materials, since it can lead to cracking or delamination. In this work, we studied the phenomenon of Cu protrusion and microstructural changes during thermal annealing of a TSV wafer. The extruded Cu-TSV was observed using scanning electron microscopy (SEM), 3D profilometry, and atomic force microscopy (AFM). The electron backscatter diffraction (EBSD) technique was employed to study the grain orientation of Cu-TSV and evolution of the grain size as a function of annealing temperature. The elastic modulus and yield stress of copper were characterized using nanoindentation. A model for Cu protrusion is proposed to provide insight into the failure mechanism. The results help to solve a key TSV-related manufacturing yield and reliability challenge by enabling high-throughput TSV fabrication for 3D IC integration.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. International Technology Roadmap for Semiconductors Report Update (2010).

  2. M. Karnezos, F. Carson, and R. Pendse, Chip Scale Rev. 1, 29 (2005).

    Google Scholar 

  3. S.F. Al-Sarawi, D. Abbott, and P.D. Franzon, IEEE Trans. CPMT 21, 2 (1998).

    Google Scholar 

  4. R.E. Terrill, International Conference on Multichip Modules (Reston, VA, USA: SPIE, 1995), p. 7.

    Google Scholar 

  5. K. Takahashi, H. Terao, Y. Tomita, Y. Yamaji, M. Hoshino, T. Sato, T. Morifuji, M. Sunohara, and M. Bonkohara, Jpn. J. Appl. Phys. 40, 3032 (2001).

    Article  CAS  Google Scholar 

  6. P. Ramm, D. Bollmann, R. Braun, R. Buchner, U. Cao-Minh, M. Engelhardt, G. Errmann, T. Grassl, K. Hieber, H. Hubner, G. Kawala, M. Kleiner, A. Klumpp, S. Kuhn, C. Landesberger, H. Lezec, W. Muth, W. Pamler, R. Popp, E. Renner, G. Ruhl, A. Sanger, U. Scheler, A. Schertel, C. Schmidt, S. Schwarzl, J. Weber, and W. Weber, Materials for Advanced Metallization (MAM) (Netherlands, 1997), p. 39.

  7. M. Koyanagi, H. Kurino, K. Lee, K. Sakmicrona, N. Miyakawa, and H. Itani, IEEE Micro. 18, 17 (1998).

    Article  Google Scholar 

  8. K. Takahashi, M. Hoshino, H. Yonemura, M. Tomisaka, M. Sunohara, M. Tanioka, T. Sato, K. Kojima, and H. Terao, Electronic Components and Technology Conference (Orlando, FL: IEEE, 2001), p. 541.

    Google Scholar 

  9. G. Feng, X. Peng, J. Cai, and S. Wang, International Conference on Electronics Packaging Technology (China: Shenzhen, 2005).

    Google Scholar 

  10. P. Garrou, Semiconductor International (Dec, 2009).

  11. S.E. Thompson, S. Guangyu, C. Youn Sung, and T. Nishida, IEEE Trans Electron. Dev. 53, 1010 (2006).

    Article  CAS  Google Scholar 

  12. K.H. Lu, R. Suk-Kyu, Z. Qiu, Z. Xuefeng, J. Im, H. Rui, and P.S. Ho, Electronic Components and Technology Conference (Las Vegas, NV: IEEE, 2010), p. 40.

    Google Scholar 

  13. J. Van Olmen, J. Coenen, W. Dehaene, K. De Meyer, C. Huyghebaert, A. Jourdain, G. Katti, A. Mercha, M. Rakowski, M. Stucchi, Y. Travaly, E. Beyne, and B. Swinnen, International Conference on 3D System Integration (3DIC) (San Fransisco, CA, USA: IEEE, 2009), p. 5.

    Google Scholar 

  14. C. Okoro, C. Huyghebaert, J. Van Olmen, R. Labie, K. Lambrinou, B. Vandevelde, E. Beyne, and D. Vandepitte, AIP Conf. Proc. 1300, 214 (2010).

    Article  CAS  Google Scholar 

  15. Wyko Surface Profilers Technical Reference Manual, p. 11.

  16. S.H. Rhee, D. Yong, and P.S. Ho, J. Appl. Phys. 93, 3926 (2003).

    Article  CAS  Google Scholar 

  17. M. Murakami, T.-S. Kuan, and I.A. Blech, Treatise Mater. Sci. Technol. 24, 163 (1982).

    CAS  Google Scholar 

  18. H. Lee, S.S. Wong, and S.D. Lopatin, J. Appl. Phys. 93, 3796 (2003).

    Article  CAS  Google Scholar 

  19. C. Okoro, R. Labie, K. Vanstreels, A. Franquet, M. Gonzales, B. Vandevelde, E. Beyne, D. Vandepitte, and B. Verlinden, J. Mater. Sci 46, 3868 (2011).

    Article  CAS  Google Scholar 

  20. W.C. Oliver and G.M. Pharr, J. Mater. Res. 7, 1564 (1992).

    Article  CAS  Google Scholar 

  21. T.H. Courtney, Mechanical Behavior of Materials (New York: McGrill-Hill, 1990), p. 80, 263.

  22. W.D. Nix and H.J. Gao, J. Mech. Phys. Solids 46, 41 (1998).

    Article  Google Scholar 

  23. R.E. Kumon and D.C. Hurley, Thin Solid Films 484, 251 (2005).

    Article  CAS  Google Scholar 

  24. A.S. Budiman, H.A.S. Shin, B.J. Kim, S.H. Hwang, H.Y. Son, M.S. Suh, Q.H. Chung, K.Y. Byun, N. Tamura, M. Kunz, and Y.C. Joo, Microelectron. Reliab. 52, 530 (2012).

    Article  CAS  Google Scholar 

  25. P. Kumar, I. Dutta, and M.S. Bakir, J. Electron. Mater. 41, 332 (2011).

    Google Scholar 

  26. G.B. Alers, J. Sukamto, P. Woytowitz, X. Lu, S. Kailasam, and J. Reid, Stress Migration and the Mechanical Properties of Copper, International Reliability Physics Symposium (San Jose, CA: IEEE, 2005), p. 36.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to A. Heryanto.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Heryanto, A., Putra, W., Trigg, A. et al. Effect of Copper TSV Annealing on Via Protrusion for TSV Wafer Fabrication. J. Electron. Mater. 41, 2533–2542 (2012). https://doi.org/10.1007/s11664-012-2117-3

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11664-012-2117-3

Keywords

Navigation