Next Article in Journal
Effects of CeO2 and Sb2O3 on the Nonlinear Photochemical Process in Ultrashort Laser Gaussian—Bessel Beams Irradiated Photo—Thermo—Refractive Glass
Next Article in Special Issue
Electroforming-Free Bipolar Resistive Switching Memory Based on Magnesium Fluoride
Previous Article in Journal
A Multi-Phase Based Multi-Application Mapping Approach for Many-Core Networks-on-Chip
Previous Article in Special Issue
Investigation of PVT-Aware STT-MRAM Sensing Circuits for Low-VDD Scenario
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Analysis of Leakage Current of HfO2/TaOx-Based 3-D Vertical Resistive Random Access Memory Array

1
School of Electronic and Information Engineering, Beijing Jiaotong University, Beijing 100044, China
2
Key Laboratory of Microelectronics Device and Integrated Technology, Institute of Microelectronics of the Chinese Academy of Sciences, Beijing 100029, China
*
Authors to whom correspondence should be addressed.
Micromachines 2021, 12(6), 614; https://doi.org/10.3390/mi12060614
Submission received: 15 March 2021 / Revised: 12 May 2021 / Accepted: 21 May 2021 / Published: 26 May 2021
(This article belongs to the Special Issue Advances in Emerging Nonvolatile Memory)

Abstract

:
Three-dimensional vertical resistive random access memory (VRRAM) is proposed as a promising candidate for increasing resistive memory storage density, but the performance evaluation mechanism of 3-D VRRAM arrays is still not mature enough. The previous approach to evaluating the performance of 3-D VRRAM was based on the write and read margin. However, the leakage current (LC) of the 3-D VRRAM array is a concern as well. Excess leakage currents not only reduce the read/write tolerance and liability of the memory cell but also increase the power consumption of the entire array. In this article, a 3-D circuit HSPICE simulation is used to analyze the impact of the array size and operation voltage on the leakage current in the 3-D VRRAM architecture. The simulation results show that rapidly increasing leakage currents significantly affect the size of 3-D layers. A high read voltage is profitable for enhancing the read margin. However, the leakage current also increases. Alleviating this conflict requires a trade-off when setting the input voltage. A method to improve the array read/write efficiency is proposed by analyzing the influence of the multi-bit operations on the overall leakage current. Finally, this paper explores different methods to reduce the leakage current in the 3-D VRRAM array. The leakage current model proposed in this paper provides an efficient performance prediction solution for the initial design of 3-D VRRAM arrays.

1. Introduction

Due to the high endurance, high nonlinearity, and robust read/write disturbance immunity [1,2,3], resistive random access memory (RRAM) has received enormous attention as one of the most promising candidates for the next generation of nonvolatile data storage technology [4,5,6,7]. Different from the traditional charge-type memory, the read and write operations of the RRAM are significantly affected by circuit-level factors such as the working mode and interconnection [8,9,10,11]. To further increase the storage density of resistive random access memory, the 3-D VRRAM architecture is proposed, which increases the storage density by stacking RRAM cells in the vertical direction. Most of the current research about 3-D VRRAM are based on the single memory cell level [12,13,14,15,16,17]. Therefore, it is significant to estimate the performance of 3-D VRRAM at the array level [18,19]. Recently, the read and write margin of 3-D VRRAM with a WL planar structure has been evaluated in a few papers [20,21]. However, many opinions believe that the interconnection sneak path in the 2-D and 3-D architectures is the limiting factor for establishing large-scale RRAM arrays [22,23,24]. The reliability, array expansibility, and array read-write accuracy of RRAM decreases significantly with the increase of the leakage current in the RRAM array [25]. A high leakage current generates additional power consumption, reducing the energy efficiency ratio of the system [26]. The establishment of a leakage current model with excellent characteristics can help in the early design of RRAM chips. However, the leakage current has not been fully analyzed in previous work.
This research proposes a direction for the design and the selection of the read/write scheme in 3-D VRRAM arrays by analyzing the leakage current (LC). The remainder of this paper is organized as follows. In Section 2, we presented the architecture of 3-D VRRAM, analyzed the cause of leakage current, and described the voltage bias scheme used in the simulation. In Section 3, the SPICE simulation results are shown, and the factors that affect the leakage current in the RRAM array are analyzed. Finally, Section 4 concludes this article.

2. Simulation Methods

Figure 1a illustrates the schematic of traditional 3-D VRRAM. This architecture uses word lines (WL), select lines (SL), and bit lines (BL) to select RRAM cells in the array. WLs are plane electrodes that intersect the pillar’s electrode. SLs are used to choose the target column in the array. Moreover, the different pillars are also connected by BLs at the bottom of the array.
In this study, we propose another HfO 2 /TaO x -based built-in nonlinear 3-D VRRAM (BNR) architecture [27]. Due to the institution of a high-performance self-selection cell (SSC), the architecture only contains bit-lines and word-lines, as shown in Figure 1b, which can achieve higher circuit efficiency and operation margins. The transmission electron microscope (TEM) image of the built-in nonlinear 3-D VRRAM structure is depicted in Figure 2. The resistance of 2-D RRAM is changed with the change in the conductive filament (CF). However, the mechanism of the resistance of the memory cell in 3-D VRRAM array is different. Under the action of the electric field, the vacancies in the barrier layer shift under different bias voltages, and the width of the tunnel barrier change accordingly, thereby changing the resistance of the RRAM device.
To facilitate this demonstration, we use a schematic diagram of the 3-D VRRAM array to explain the cause of the leakage current, as shown in Figure 3. When the No. 1 device is selected, if the status of the No. 1 cell is HRS and the No. 2 to No. 4 cells are in the LRS status, the black line indicates a complete current loop. However, the current also flows through the No. 2 to No. 4 cells, as indicated by the red line, forming a sneak path. As is well known, more sneak paths produce greater leakage current.
Compared with the 2-D RRAM array [28,29], there are more sneak paths in the 3-D RRAM array, so the leakage current in the 3-D VRRAM array passes through more RRAM cells, resulting in greater additional energy loss. Therefore, it is important to evaluate the leakage current in the early stages of 3-D VRRAM array design. The complete nonlinear I-V characteristics of the RRAM device are not included in the SPICE simulation because including them greatly reduces the simulation speed and requires more memory resources to evaluate the performance of enormous array size. However, compared with other work that used the simple analytic approximation model for the array investigation [30,31], our HSPICE simulation method based on modular analysis is more accurate. We developed a 3D circuit module, as shown in Figure 4 for the HSPICE simulation. The model proposed in this article can be divided into four parts: the red resistor represents the selected RRAM cell; the green resistors represent half-selected RRAM cells on the same WL; the yellow resistors represent half-selected RRAM cells on the same BL; and the gray resistors represent unselected RRAM cells.
To analyse the leakage current of the 3-D VRRAM array, the model is simplified to the circuit model shown in Figure 5. The left side shows the current path of the selected RRAM cell, and the right side shows the sneak path that generates the leakage current.
Compared with other reported 3-D VRRAM structures, the structure we present in this paper has a higher resistance (the resistance levels of HRS and LRS are 10 12 Ω and 10 9 Ω , respectively), as shown in Figure 6a. The wire resistance in the array is less than 10 Ω , the voltage drop caused by the wire resistance is tiny. Consequently, the influence of the wire resistance can be ignored in the analysis before the array size reaches 1 Gb. Moreover, the high resistance of the RRAM devices can ensure an outstanding read and write margin in a large array. Therefore, in this structure, the leakage current is the factor that requires more consideration in the array design compared to the operation margin.
We analyze the 1-bit operation scheme first and discuss the multi-bit operation scheme later in this article. In the 1-bit write operation, only one WL and one BL are selected to choose the selected device, and the other lines are unselected. The applied voltages at the selected and unselected WLs and BLs for read and write operations are listed in Table 1. For a read operation, a voltage of V r is applied to the selected word line, while all other lines are “0”. During the write operation, the WL and BL voltages of the selected RRAM cell are set to V w and 0, respectively, and all of the other lines apply a voltage of V w /2 to prevent accidental writing.
The I-V characteristic of the HfO 2 /TaO x based built-in nonlinear 3-D VRRAM architecture is shown in Figure 7. It can be seen from the figure that, when the compliance current is set to 1 µA, the resistance switching window of the device is still very large, which proves that it can normally work at currents of nA level. Compared with many RRAM devices that need to work at µA currents, this device has the advantage of low power consumption. Therefore, the leakage current must be strictly limited to avoid additional power consumption and to maintain its low power consumption characteristics. It is worth mentioning that, although the scan loop of the voltage is 0 V to 5 V, this does not indicate that the device requires a 5 V write voltage. In fact, a pulse voltage of approximately 2.5 V is sufficient to write to the device. Although the resistance ratio on the negative current range is lower than the positive range, this does not affect the switching mode of the device because all of the cells in the array are read in the positive range. When designing the peripheral circuit, the designer only needs to pay attention to the window that displays the forward curve.
The read and write voltage distribution of the novel BNR cell is shown in Figure 6b. It can be seen from the Figure that the range of the write voltage is 1.8–2.5 V, so V w (write voltage) is set to 3 V in this simulation to obtain a 0.5 V liberality, while making V w /2 = 1.5 V to avoid intrusion to the half-selected area. To explore the effect of the read-voltage on the leakage current, V r (read voltage) is set to 1–1.5 V. Furthermore, we use the worst cell patterns proposed in Table 2 to analyze the worst-case leakage current [32].
The leakage current (LC) is defined as the total leakage current from all sneak paths
L C = I w h s + I b h s + I u s
where I w h s denotes the leakage current of the half-selected area that shares the same WL with the selected cell. I b h s represents the leakage current of the half-selected area of the same BL as the selected cell, and I u s represents the leakage current of the unselected area. Read Margin (RM) is defined as the difference between the current when the RRAM cell is in a low resistance state and when it is in a high resistance state, as shown in the following equation.
R M = I L R S I H R S

3. Results and Discussion

3.1. Error Rate

To analyze the leakage current in the 3-D VRRAM array, we performed numerous HSPICE simulations for different factors by controlling the variables. In order to verify the correctness of the simulation model proposed in this paper, we compared the simulation results with the experimental results of the RRAM array and defined the Error-Rate (ER)
E R = | I l c ̲ e x p I l c ̲ s i m | I l c ̲ e x p × 100 [ % ]
where I l c ̲ e x p expresses the leakage current measured by experiments and I l c ̲ s i m represents the leakage current obtained by simulation. The maximum error does not exceed 0.7% when the array size is 32 × 8 × 8 and V r = 1 V, which shows the simulation results are in good agreement with the experimental results.

3.2. Array Size

This section discusses the comprehensive effects of the number of layers and the plane size of the array on the leakage current in both read and write modes.
With a fixed V r of 1 V, the leakage current of 3-D VRRAM array in various planar array sizes ( 4 × 4 ~ 256 × 256 ) and layers (1~16) is shown in Figure 8a. It can be seen from the figure that the leakage current is increased with the size of the planar array. Moreover, the leakage current is more obviously affected by the size of the planar array as the number of layers increases. This is because a larger number of layers corresponds to a higher growth rate of the sneak path. Figure 8b shows the relationship between the leakage current and the array size during a write operation. As with the read operation, as the size of the planar array and the number of layers increases, the leakage current of the write operation also increases significantly, and the leakage current of the write operation is higher than that of the read operation. This occurs because, compared to the read operation, the write operation applies a higher voltage. As shown earlier, the voltage has a significant influence on the leakage current. Therefore, it is necessary to balance the number of layers and the size of the planar array to minimize the leakage current when designing the apparatus.

3.3. Read Voltage

The maximum read voltage can approach half of the write voltage to prevent any storage state interference. Previous studies have shown that the read margin of the RRAM array increases as the read voltage rises. Nevertheless, in the experiment, we found that, as the read voltage increases, the leakage current of the 3-D RRAM array also increases and that excessive leakage current cannot be tolerated when designing the 3-D VRRAM array. Therefore, before designing a 3-D VRRAM array, the impact of operating voltage on leakage current must be evaluated to determine the operating voltage of the array. This section discusses the relationship between reading margin, leakage current, and read voltage in detail.
Figure 9 shows the curve of RM and LC under different read voltages when the array size is 64 × 64 × 8 . With the increase in the read-voltage from 1 V to 1.5 V, although the read margin is increased, the corresponding leakage current also increases about 2.1 nA, indicating that the additional energy consumption of the array increases. Although a lower read voltage reduces the overall leakage current and power consumption, it also significantly reduces the read margin of the 3-D VRRAM array and may result in an excessively small read current. This might bring a great challenge to read comparison and sensitivity amplifiers. Therefore, a balance between leakage current and read margin is considered during the 3-D VRRAM array design.
Comparing the effect of the array size and the read voltage on the leakage current of the memory, it can be found that the read voltage has a greater effect on the leakage current compared to the array size. This is because, for the 3-D VRRAM array, the read voltage is a global variable that affects all memory cells, and the expansion of the array size causes the sneak path to increase so that the read voltage has a greater impact on the leakage current.

3.4. Multi-Bit Operation

In the read operation, multiple bits can be read in parallel. The relationship between LC and the number of parallel read bits is shown in Figure 10. The number of layers has a much greater impact on the leakage current than the number of bits, as shown in Figure 10a. Figure 10b shows that the leakage current slightly decreases as the number of parallel operation bits increases because, as the selected memory cell increases, the sneak paths in the array decreases. For a 16-layer array, the decrease in the leakage current due to a greater number of selected cells is about 3.5 pA (from 2 4 to 2 8 -bits write). However, as the number of parallel operations increases, the operating margin decreases. Therefore, it is essential to trade off the number of bits in the parallel reading. However, Figure 10 suggests that 2 8 -bits parallel reading is feasible.

4. Conclusions

This article analyzes the leakage current of 3-D VRRAM array, which not been fully analyzed in previous research. The influence of the design parameters of the 3-D VRRAM array on the leakage current is summarized in Table 3 and Figure 11. The results show that the growth rate of the leakage current increases as the size of the array increases. Moreover, the operating voltage has a great influence on the leakage current, although a high operation voltage is beneficial to improving the operating margin, the leakage current increases as well, which leads to a decrease in the reliability of the array. Therefore, while ensuring the operation margin, the operation voltage should be reduced as much as possible. Multi-bit operation is an attractive way to decrease the generation of leakage current. It can be seen from the Figure 11 that the read voltage has the greatest influence on the leakage current, and the multi-bit operation has the least influence on the leakage current. Therefore, in the design of the array, it is necessary to minimize the working voltage and to increase the number of parallel operation bits without affecting the function, so that the array can achieve higher performance and lower energy consumption. This paper provides a guideline for the design of a 3-D RRAM array.

Author Contributions

Conceptualization, F.Z. and Z.C.; methodology, Z.C.; software, Q.H., R.S. and Z.C.; validation, Q.H., R.S., Q.R. and Z.C.; formal analysis, C.Z. and Z.C.; investigation, Z.C.; resources, F.Z. and L.L.; data curation, C.Z. and Z.C.; writing—original draft preparation, Z.C. and R.S.; writing—review and editing, Z.C.; visualization, Z.C.; supervision, F.Z. and L.L.; project administration, F.Z.; funding acquisition, F.Z. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by National Key Research Plan of China (grant number 2018YFB0407500), by the Strategic Priority Research Program of the Chinese Academy of Sciences China (grant number XDB44000000), and by the National Natural Science Foundation of China (grant number 61720106013).

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Chen, H.; Yu, S.; Gao, B.; Huang, P.; Kang, J.; Wong, H.-P. HfOx based vertical resistive random access memory for cost-effective 3D cross-point architecture without cell selector. IEEE Int. Electron Devices Meet. 2012, 20.7.1–20.7.4. [Google Scholar] [CrossRef]
  2. Luo, Q.; Xu, X.; Liu, H.; Lv, H.; Gong, T.; Long, S.; Liu, Q.; Sun, H.; Banerjee, W.; Li, L.; et al. Demonstration of 3D vertical RRAM with ultra low-leakage, high-selectivity and self-compliance memory cells. IEEE Int. Electron Devices Meet. 2015, 10.2.1–10.2.4. [Google Scholar] [CrossRef]
  3. Baek, I.G.; Park, C.J.; Ju, H.; Seong, D.J.; Ahn, H.S.; Kim, J.H.; Yang, M.K.; Song, S.H.; Kim, E.M.; Park, S.O.; et al. Realization of vertical resistive memory (VRRAM) using cost effective 3D process. IEEE Int. Electron Devices Meet. 2011, 31.8.1–31.8.4. [Google Scholar] [CrossRef]
  4. Huang, P.; Liu, X.Y.; Chen, B.; Li, H.T.; Wang, Y.J.; Deng, Y.X.; Wei, K.L.; Zeng, L.; Gao, B.; Du, G.; et al. A Physics-Based Compact Model of Metal-Oxide-Based RRAM DC and AC Operations. IEEE Trans. Electron Devices 2013, 60, 4090–4097. [Google Scholar] [CrossRef]
  5. Shen, Z.; Zhao, C.; Qi, Y.; Mitrovic, I.; Yang, L.; Wen, J.; Huang, Y.; Li, P.; Zhao, C. Memristive Non-Volatile Memory Based on Graphene Materials. Micromachines 2020, 11, 341. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  6. Huo, Q.; Song, R.; Lei, D.; Luo, Q.; Wu, Z.; Wu, Z.; Zhao, X.; Zhang, F.; Li, L.; Liu, M. Demonstration of 3D Convolution Kernel Function Based on 8-Layer 3D Vertical Resistive Random Access Memory. IEEE Electron Device Lett. 2020, 41, 497–500. [Google Scholar] [CrossRef]
  7. Banerjee, W. Challenges and Applications of Emerging Nonvolatile Memory Devices. Electronics 2020, 9, 1029. [Google Scholar] [CrossRef]
  8. Wong, H.-P.; Lee, H.; Yu, S.; Chen, Y.; Wu, Y.; Chen, P.; Lee, B.; Chen, F.T.; Tsai, M. Metal–Oxide RRAM. Proc. IEEE 2012, 100, 1951–1970. [Google Scholar] [CrossRef]
  9. Lim, E.W.; Ismail, R. Conduction Mechanism of Valence Change Resistive Switching Memory: A Survey. Electronics 2015, 4, 586–613. [Google Scholar] [CrossRef]
  10. Ielmini, D. Modeling the Universal Set/Reset Characteristics of Bipolar RRAM by Field- and Temperature-Driven Filament Growth. Proc. IEEE 2012, 100, 1951–1970. [Google Scholar] [CrossRef]
  11. Deng, Y.; Huang, P.; Chen, B.; Yang, X.; Gao, B.; Wang, J.; Zeng, L.; Du, G.; Kang, J.; Liu, X. RRAM Crossbar Array With Cell Selection Device: A Device and Circuit Interaction Study. IEEE Trans. Electron Devices 2013, 60, 719–726. [Google Scholar] [CrossRef]
  12. Pérez-Bosch Quesada, E.; Romero-Zaliz, R.; Pérez, E.; Kalishettyhalli Mahadevaiah, M.; Reuben, J.; Schubert, M.; Jiménez-Molinos, F.; Roldán, J.; Wenger, C. To ward Reliable Compact Modeling of Multilevel 1T-1R RRAM Devices for Neuromorphic Systems. Electronics 2021, 10, 645. [Google Scholar] [CrossRef]
  13. Zhao, X.; Song, P.; Gai, H.; Li, Y.; Ai, C.; Wen, D. Li-Doping Effect on Characteristics of ZnO Thin Films Resistive Random Access Memory. Micromachines 2020, 11, 889. [Google Scholar] [CrossRef] [PubMed]
  14. Kvatinsky, S.; Ramadan, M.; Friedman, E.G.; Kolodny, A. VTEAM: A General Model for Voltage-Controlled Memristors. IEEE Trans. Circuits Syst. II Express Briefs 2015, 62, 786–790. [Google Scholar] [CrossRef]
  15. García-Redondo, F.; Gowers, R.P.; Crespo-Yepes, A.; López-Vallejo, M.; Jiang, L. SPICE Compact Modeling of Bipolar/U2015nipolar Memristor Switching Governed by Electrical Thresholds. IEEE Trans. Circuits Syst. I Regular Pap. 2016, 63, 1255–1264. [Google Scholar] [CrossRef] [Green Version]
  16. Jiménez-Molinos, F.; Villena, M.A.; Roldán, J.B.; Roldán, A.M. A SPICE Compact Model for Unipolar RRAM Reset Process Analysis. IEEE Trans. Electron Devices 2016, 63, 1255–1264. [Google Scholar] [CrossRef]
  17. Kvatinsky, S.; Friedman, E.G.; Kolodny, A.; Weiser, U.C. TEAM: ThrEshold Adaptive Memristor Model. IEEE Trans. Circuits Syst. I Regular Pap. 2013, 60, 211–221. [Google Scholar] [CrossRef]
  18. Bai, Y.; Wu, H.; Wu, R.; Zhang, Y.; Deng, N.; Yu, Z.; Qian, H. Study of Multi-level Characteristics for 3D Vertical Resistive Switching Memory. Sci. Rep. 2013, 4, 5780. [Google Scholar] [CrossRef] [Green Version]
  19. Zhang, L.; Cosemans, S.; Wouters, D.J.; Govoreanu, B.; Groeseneken, G.; Jurczak, M. Analysis of vertical cross-point resistive memory (VRRAM) for 3D RRAM design. IEEE Int. Memory Workshop 2013, 4, 2014. [Google Scholar] [CrossRef]
  20. Yu, S.; Deng, Y.; Gao, B.; Huang, P.; Chen, B.; Liu, X.; Kang, J.; Chen, H.; Jiang, Z.; Wong, H.-P. Design guidelines for 3D RRAM cross-point architecture. Int. Symp. Circuits Syst. 2014, 421–424. [Google Scholar] [CrossRef]
  21. Chen, P.; Li, Z.; Yu, S. Analysis of vertical cross-point resistive memory (VRRAM) for 3D RRAM design. IEEE Trans. Very Large Scale Integr. Syst. 2016, 24, 3460–3467. [Google Scholar] [CrossRef]
  22. Chen, Y.; Lin, C.; Chang, Y. Post-Moore Memory Technology: Sneak Path Current (SPC) Phenomena on RRAM Crossbar Array and Solutions. Micromachines 2021, 12, 50. [Google Scholar] [CrossRef]
  23. Zhou, J.; Kim, K.; Lu, W. Crossbar RRAM Arrays: Selector Device Requirements During Read Operation. IEEE Trans. Electron Devices 2014, 61, 1369–1376. [Google Scholar] [CrossRef]
  24. Levisse, A.; Giraud, B.; Noël, J.P.; Moreau, M.; Portal, J.M. SneakPath compensation circuit for programming and read operations in RRAM-based CrossPoint architectures. Non-Volatile Memory Technol. Symp. 2015, 1–4. [Google Scholar] [CrossRef] [Green Version]
  25. Burr, G.W.; Shenoy, R.S.; Virwani, K.; Narayanan, P.; Padilla, A.; Kurdi, B.; Hwang, H. Access devices for 3D crosspoint memory. J. Vacuum Sci. Technol. B 2014, 32, 040802. [Google Scholar] [CrossRef] [Green Version]
  26. Young-Fisher, K.G.; Bersuker, G.; Butcher, B.; Padovani, A.; Larcher, L.; Veksler, D.; Gilmer, D.C. Leakage Current-Forming Voltage Relation and Oxygen Gettering in HfOx RRAM Devices. IEEE Electron Device Lett. 2013, 34, 750–752. [Google Scholar] [CrossRef]
  27. Luo, Q.; Xu, X.; Gong, T.; Lv, H.; Dong, D.; Ma, H.; Yuan, P.; Gao, J.; Liu, J.; Yu, Z.; et al. 8-Layers 3D vertical RRAM with excellent scalability towards storage class memory applications. IEEE Int. Electron Devices Meet. 2017, 2.7.1–2.7.4. [Google Scholar] [CrossRef]
  28. Jo, S.H.; Kumar, T.; Narayanan, S.; Nazarian, H. Cross-Point Resistive RAM Based on Field-Assisted Superlinear Threshold Selector. IEEE Trans. Electron Devices 2015, 62, 3477–3481. [Google Scholar] [CrossRef]
  29. Xu, C.; Niu, D.; Muralimanohar, N.; Balasubramonian, R.; Zhang, T.; Yu, S.; Xie, Y. Overcoming the challenges of crossbar resistive memory architectures. In Proceedings of the IEEE 21st International Symposium on High Performance Computer Architecture (HPCA), Burlingame, CA, USA, 7–11 February 2015; pp. 476–488. [Google Scholar] [CrossRef]
  30. Jo, S.H.; Chang, T.; Kim, K.; Gaba, S.; Lu, W. Experimental, modeling and simulation studies of nanoscale resistance switching devices. IEEE Conf. Nanotechnol. 2009, 493–495. [Google Scholar]
  31. Chen, A. A Comprehensive Crossbar Array Model With Solutions for Line Resistance and Nonlinear Device Characteristics. IEEE Trans. Electron Devices 2013, 60, 1318–1326. [Google Scholar] [CrossRef]
  32. Choi, S.; Sun, W.; Shin, H. Analysis of Read Margin and Write Power Consumption of a 3-D Vertical RRAM (VRRAM) Crossbar Array. IEEE J. Electron Devices Soc. 2018, 6, 1192–1196. [Google Scholar] [CrossRef]
Figure 1. (a) Schematic of traditional 3-D VRRAM and (b) schematic of HfO 2 /TaO x -based on a built-in nonlinear 3-D VRRAM.
Figure 1. (a) Schematic of traditional 3-D VRRAM and (b) schematic of HfO 2 /TaO x -based on a built-in nonlinear 3-D VRRAM.
Micromachines 12 00614 g001
Figure 2. TEM image of the HfO 2 /TaO x -based built-in nonlinear 3-D VRRAM structure.
Figure 2. TEM image of the HfO 2 /TaO x -based built-in nonlinear 3-D VRRAM structure.
Micromachines 12 00614 g002
Figure 3. Sneak path in 3-D VRRAM array.
Figure 3. Sneak path in 3-D VRRAM array.
Micromachines 12 00614 g003
Figure 4. Spice model of the novel 3-D VRRAM array.
Figure 4. Spice model of the novel 3-D VRRAM array.
Micromachines 12 00614 g004
Figure 5. Leakage current model of the 3-D VRRAM array.
Figure 5. Leakage current model of the 3-D VRRAM array.
Micromachines 12 00614 g005
Figure 6. (a) Resistance distributions and (b) voltage distributions of 50 BNR devices.
Figure 6. (a) Resistance distributions and (b) voltage distributions of 50 BNR devices.
Micromachines 12 00614 g006
Figure 7. I-V characteristics of 3-D VRRAM cell.
Figure 7. I-V characteristics of 3-D VRRAM cell.
Micromachines 12 00614 g007
Figure 8. (a) Read leakage current under different array sizes and (b) write leakage current under different array sizes (from 4 × 4 to 256 × 256 and 1~16 layers).
Figure 8. (a) Read leakage current under different array sizes and (b) write leakage current under different array sizes (from 4 × 4 to 256 × 256 and 1~16 layers).
Micromachines 12 00614 g008
Figure 9. (a) RM under different read voltages and (b) LC under different read voltages.
Figure 9. (a) RM under different read voltages and (b) LC under different read voltages.
Micromachines 12 00614 g009
Figure 10. (a) The leakage current of multi-bit (from 2 4 to 2 8 ) parallel read under different layers (from 2 to 16), (b) the leakage current under various parallel reading bits when the number of stacked layers is 16 (planar array size is 256 × 256 ).
Figure 10. (a) The leakage current of multi-bit (from 2 4 to 2 8 ) parallel read under different layers (from 2 to 16), (b) the leakage current under various parallel reading bits when the number of stacked layers is 16 (planar array size is 256 × 256 ).
Micromachines 12 00614 g010
Figure 11. The influence intensity of design parameters on leakage current.
Figure 11. The influence intensity of design parameters on leakage current.
Micromachines 12 00614 g011
Table 1. Read and write voltage scheme.
Table 1. Read and write voltage scheme.
ParameterSel-WLUnsel-WLSel-BLUnsel-BL
Read V r 000
Write V w V w /20 V w /2
Table 2. Worst-case cell pattens.
Table 2. Worst-case cell pattens.
ParameterWL Half-SelectedBL Half-SelectedUnselected
Read HRSLRSLRSLRS
Read LRSHRSHRSLRS
WriteLRSLRSLRS
Table 3. Summary of the influence of the design parameters on the leakage current.
Table 3. Summary of the influence of the design parameters on the leakage current.
ParameterArray Size ↑Read Voltage ↓Multi-Bit ↓
LC
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Chen, Z.; Song, R.; Huo, Q.; Ren, Q.; Zhang, C.; Li, L.; Zhang, F. Analysis of Leakage Current of HfO2/TaOx-Based 3-D Vertical Resistive Random Access Memory Array. Micromachines 2021, 12, 614. https://doi.org/10.3390/mi12060614

AMA Style

Chen Z, Song R, Huo Q, Ren Q, Zhang C, Li L, Zhang F. Analysis of Leakage Current of HfO2/TaOx-Based 3-D Vertical Resistive Random Access Memory Array. Micromachines. 2021; 12(6):614. https://doi.org/10.3390/mi12060614

Chicago/Turabian Style

Chen, Zhisheng, Renjun Song, Qiang Huo, Qirui Ren, Chenrui Zhang, Linan Li, and Feng Zhang. 2021. "Analysis of Leakage Current of HfO2/TaOx-Based 3-D Vertical Resistive Random Access Memory Array" Micromachines 12, no. 6: 614. https://doi.org/10.3390/mi12060614

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop