Skip to main content

REVIEW article

Front. Neurosci., 06 August 2021
Sec. Neural Technology
This article is part of the Research Topic Emerging Devices and Architectures for Brain-Inspired Processing Systems and Applications View all 4 articles

Emerging Artificial Neuron Devices for Probabilistic Computing

\r\nZong-xiao Li,Zong-xiao Li1,2Xiao-ying Geng,Xiao-ying Geng1,3Jingrui Wang,Jingrui Wang1,4Fei Zhuge,,*Fei Zhuge1,2,5*
  • 1Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo, China
  • 2Center of Materials Science and Optoelectronics Engineering, University of Chinese Academy of Sciences, Beijing, China
  • 3School of Materials Science and Engineering, Southwest University of Science and Technology, Mianyang, China
  • 4School of Electronic and Information Engineering, Ningbo University of Technology, Ningbo, China
  • 5Center for Excellence in Brain Science and Intelligence Technology, Chinese Academy of Sciences, Shanghai, China

In recent decades, artificial intelligence has been successively employed in the fields of finance, commerce, and other industries. However, imitating high-level brain functions, such as imagination and inference, pose several challenges as they are relevant to a particular type of noise in a biological neuron network. Probabilistic computing algorithms based on restricted Boltzmann machine and Bayesian inference that use silicon electronics have progressed significantly in terms of mimicking probabilistic inference. However, the quasi-random noise generated from additional circuits or algorithms presents a major challenge for silicon electronics to realize the true stochasticity of biological neuron systems. Artificial neurons based on emerging devices, such as memristors and ferroelectric field-effect transistors with inherent stochasticity can produce uncertain non-linear output spikes, which may be the key to make machine learning closer to the human brain. In this article, we present a comprehensive review of the recent advances in the emerging stochastic artificial neurons (SANs) in terms of probabilistic computing. We briefly introduce the biological neurons, neuron models, and silicon neurons before presenting the detailed working mechanisms of various SANs. Finally, the merits and demerits of silicon-based and emerging neurons are discussed, and the outlook for SANs is presented.

Introduction

Chaos is generally undesirable for artificial intelligence architectures, long-term chaotic fluctuations in human brain waves exhibit significant functions in biological neural networks. High-level brain functions, such as memory recall and inference rely on the presence of certain types of noises, which are the functions desired to be mimicked in artificial neural networks (ANNs). Microscopically, the noise is generated by stochastic neuronal dynamics. Several complex phenomena, such as ionic conductance noise, chaotic motion of charge carriers caused by thermal noise, interneuron morphological variabilities, and synaptic background input noise (Faisal et al., 2008) have been considered as the source of stochastic neuronal behavior. Probabilistic computing based on stochastic neural networks is considered a feasible method of mimicking the inference function. This is because the response variability of cortical neurons observed in electrophysiological recordings has been well-explained in terms of probabilistic computation (Shadlen and Newsome, 1998). To date, stochastic computing algorithms based on restricted Boltzmann machine (Jordan et al., 2019) and Bayesian inference (Sountsov and Miller, 2015) have exhibited remarkable advantages in edge detection (Joe and Kim, 2019), traffic prediction (Sun X. et al., 2020), and the complex prediction of protein functions (Zou et al., 2017). However, the existing stochastic neural networks remain at quasi-stochastic states and are accelerated by the central processing unit or graphic processing unit. Moreover, the dedicated stochastic electronic circuits are in the early stages of development (Hamilton et al., 2014) and require more electric components. To sufficiently imitate the noise observed in brains, the hardware implementation for probabilistic computing should rely on the true stochastic sources of noise, particularly in terms of the inherent random nature to reduce the complexity of circuits. Additionally, mimicking the physical structure of biological neural systems can improve the operability and transplantability of computations (Pickett and Stanley Williams, 2013; Thalmeier et al., 2016; Kumar et al., 2017a).

Owing to the similarity in architectures based on synapses and neurons of biological neural systems, spiking neural networks (SNNs) are considered suitable for adding intrinsic noise for probabilistic computing on hardware level. Hardware implementation using non-von Neumann architecture of SNN-based complementary metal–oxide–semiconductor (CMOS) technology is proved to be energy-efficient and scalable with high computing speed (Merolla et al., 2014), owing to the mature manufacturing technology of metal–oxide–semiconductor field-effect transistors (MOSFETs). However, both the size and energy scaling of Si-based MOSFETs confront new challenges owing to the limitations imposed by the quantum mechanics of materials (Frank et al., 2001). Therefore, novel materials and devices are required to satisfy the rapidly growing demand of energy efficiency and feature size. Emerging electronic devices, such as memristors, CMOS compatible ferroelectric field-effect transistors (FeFETs), and electrolyte-gated transistors, have proved their capability of mimicking the synaptic plasticity based on the controllable conductance under electrical stimulus (Wang and Zhuge, 2019; Choi et al., 2020; Zhu et al., 2020). Although researchers attempted to control the random nature of these emerging devices in certain deterministic fields, such as non-volatile memory, the unpredictable random dynamics have been proved its contribution to sever as the true random number generators (Mulaosmanovic et al., 2018c; Carboni and Ielmini, 2019) and stochastic artificial neurons (SANs) (Parihar et al., 2018; Dang et al., 2019; Deng et al., 2020). In comparison with the CMOS-based neurons, the emerging artificial neurons for probabilistic computing present three advantages, namely circuit simplicity, intrinsic and unpredictable randomness, and reduced feature size. In other words, the dynamically neuronal behavior can be implemented using a simple circuit with several components rather than tens of transistors. Furthermore, the intrinsic and unpredictable randomness renders additional digital circuits unnecessary for generating quasi-stochastic noise. Finally, two-terminal devices can achieve reduced feature size rather than three-terminal transistors using the same CMOS process.

The remainder of this review article is organized as follows. Section “Biological Neuron and Its Conventional Counterpart” introduces the basic microstructure, dynamics of ion exchange, mathematical models, and integrated circuits that represent the dynamics of output spike in biological neurons. Section “Emerging SAN Devices” comprehensively reviews the emerging devices with inherent stochastic features, such as random formation and rupture of conductive filament (CF), random nucleation of domains, casual phase changes in terms of physical mechanisms, and hardware primitives of SANs. In section “Discussion,” we compare and discuss the performances of the traditional silicic and emerging SANs. Finally, section “Summary and Outlook” presents the existing challenges and active trends of stochastic neuromorphic computing algorithms based on emerging devices.

Biological Neuron and Its Conventional Counterpart

Biological Neuron and Its Physical Models

Most biological neurons comprise dendrites, soma, axon, and a cell membrane separating the inner and outer regions of a neuron, as illustrated in the top panel of Figure 1A. Dendrites connect with the axon of a pre-neuron and receive encoding spikes through a gap, referred to as a synapse, by collecting the chemical neurotransmitters released by the pre-neuron. The potential between the inner and outer regions of the membrane can be tuned and transferred to soma by regulating the Na+ and K+ concentrations through ion channels. The signals are subsequently summed in the soma. If the local graded potential (LGP) reaches the threshold, an output spike is generated and transferred to a post-neuron through the axon. The bottom panel of Figure 1A illustrates the equivalent schematic of an artificial neuron, which can be divided into three functional components, namely the summator for input spikes, activation function, and output spike generator.

FIGURE 1
www.frontiersin.org

Figure 1. (A) Schematic of a biological neuron and an equivalent artificial neuron (Yi et al., 2018). (B) Diagram of membrane potential in the Hodgkin–Huxley (HH) model. (C) Schematic of the leaky integrate-and-fire (LIF) model (Stoliar et al., 2017).

Despite an insufficient understanding of neuron network functions, several models have been proposed, including Hodgkin–Huxley (HH), Morris Lecar (ML), FitzHugh–Nagumo (FHN), integrate-and-fire (IF), and leaky integrate-and-fire (LIF) models, to describe the operation of neurons. The HH model physically describes the dynamics of ion channels in the neuron membrane when an output spike is triggered. Figure 1B depicts the graph of membrane potential vs. time, which can be divided into three identifiable parts, namely the resting period, depolarization, and hyperpolarization. When input spikes from the pre-synapses cause the depolarization of the membrane (LGP) by opening the Na+ permeable channels, Na+ ions encounter the cell and increase the LGP to a positive potential. If the LGP attains a threshold, K+ channels open and allow K+ ions to flow out through the cell membrane, resulting in the confinement for continuous rising membrane potential. As the membrane potential increases, a higher number of Na+ channels are closed until the maximum potential is attained, whereas the ejected K+ ions deplete the potential. Once the membrane potential reaches a certain state, K+ channels are consecutively closed to generate the hyperpolarization before returning to the primary state. The ML model is another biophysical neuron model, although certain properties, such as spike-frequency adaptation, are absent.

Although the HH and ML models are biophysically meaningful and measurable, it is difficult to code and analyze data using these models during neuromorphic computing. Hence, several biologically plausible neuron models, such as the FHN, IF, and LIF models were proposed. Although the FHN model does not exhibit the bursting property or chaotic dynamics owing to the lack of refractory time, it is commonly used for neuromorphic computing owing to its simplicity and ability to reproduce various biological behaviors. Additionally, the IF and LIF neuron models use linear equations with a single variable, rendering them the most popular models in computational neuroscience. Figure 1C illustrates the schematic of the membrane potential vs. the input impulses in the LIF artificial neurons. Herein, the input spikes are temporally and spatially integrated to induce the neuron membrane potential increase. When LGP attains the threshold, an action potential is triggered transferring the potential to the post-neuron. Otherwise, LGP leaks and returns to the resting period.

Conventional Silicon Artificial Neurons

Conventional silicon circuits have been widely used to construct the synapses and neurons in ANNs owing to their mature production technology. Figure 2A illustrates a typical implementation of an artificial neuron with neuromorphic LIF behavior (Indiveri et al., 2011). Herein, the LIF circuit is composed of an input low-pass filter model (yellow), a spike event generator (red), reset block (blue), and spike integration block for spike-frequency adaptation (green). The circuitry is complex with 21 transistors and several capacitors that renders the manufacturing difficult and generates chip-level heat dissipation issues. To reduce the components used in the silicon neuron, an IF neuron circuit using a p-n-p-n diode (Park et al., 2021) was proposed (Figure 2B). Herein, the neuron circuit features temporal integration, refractory period, and tunable output spike frequency. Despite the low energy consumption and reduced number of components (three transistors, one diode, and one capacitor), advanced functionalities, such as frequency adaptation and sub-threshold oscillation are absent.

FIGURE 2
www.frontiersin.org

Figure 2. (A) Leaky integrate-and-fire (LIF) neuron circuit based on complementary metal–oxide–semiconductor (CMOS) technology (Indiveri et al., 2011). (B) Integrate-and-fire (IF) neuron circuit using a p-n-p-n diode (Park et al., 2021).

Typically, the aforementioned silicon neurons are used in deterministic neural networks. To achieve inherent stochastic characteristics in the ANN circuits, additional circuits are required to produce quasi-stochastic noises. To further simplify the artificial neuron circuitry, an LIF circuitry (Lim et al., 2015) with threshold switching (TS) components was proposed, which required only three resistors, two capacitors, and two TS memristors to simulate the complete LIF behavior. Moreover, the inherent stochasticity of the emerging devices can form the basis of a new method for constructing true probabilistic neural networks.

Emerging SAN Devices

The advancements in non-linear electronic devices resulted in the construction of stochastic neuromorphic computing systems with lower energy consumption and limited circuit area. Herein, we systematically introduce certain representative progressed features of artificial neurons with inherent stochasticity, which demonstrate device-to-device (D2D) and cycle-to-cycle (C2C) variations in nature. The discussion includes: (i) filament-based neuron, (ii) ferroelectric neuron, (iii) spintronic neuron, (iv) phase-change neuron, and (v) metal-to-insulator transition (MIT) neuron.

Filament-Based Neuron

After the memristor was initially proposed (Chua, 1971; Chua and Sung Mo, 1976) and verified (Strukov et al., 2008), it has been increasingly considered for emerging non-volatile random-access memory and brain-inspired neuromorphic computing owing to its simple structure, fast write and read speed, excellent retention time, compatibility with CMOS procedure, and gradual conductance. Various physical mechanisms, such as the valence change mechanism (VCM), electrochemical metallization (ECM), charge trapping/detrapping, and thermochemical reactions in semiconducting metal oxides were introduced to explain the resistive switching phenomenon. Several researchers presented detailed explanations of these mechanisms (Pan et al., 2014; Lee J. S. et al., 2015). Among them, both VCM and ECM are based on ion migration and corresponding redox reactions. Herein, a CF is formed between the electrodes, and its formation and rupture result in the resistive switching between high-resistance state (HRS) and low-resistance state (LRS). Hence, they can be classified as filament-based memristors. However, ECM and VCM differ in terms of the migrating ions, wherein oxygen vacancy migration results in VCM-type resistive switching, whereas ECM is induced by active metals, such as Ag, Cu, and Ni. Typically, filament-based memristors demonstrate the disordered distribution of SET and RESET voltages owing to the random formation and rupture of CFs. Although the disordered parameters of filament-based memristors have been optimized using several feasible approaches (Shi et al., 2011; Li H. Y. et al., 2020; Sun Y. et al., 2020), CF-based memristors face numerous challenges in terms of commercial applications. Nevertheless, this type of natural randomness in CF-based memristors is highly suitable for constructing stochastic neural networks.

A typical filament-based memristor comprises a metal–electrolyte–metal sandwich structure. Generally, compliance current is used to manipulate the filament strength. In the case of strong filaments, non-volatile switching behavior is obtained and the state can be maintained for years. By contrast, weak filaments evoke volatile TS. Furthermore, the conductance of memristors can be tuned to a quantum degree under a proper stimulus. This disorder and gradual conductance render the filament-based memristors inherently appropriate for constructing SANs.

An effective approach to construct artificial neurons is using the non-volatile memory switching to fulfill the accumulation process of biological neurons, which corresponds to the summator function. This necessitates additional circuits to implement the assessment of threshold membrane potential, spike generator, and a feedback path to reset the memristor to its primary state. A study reported the implementation using a non-volatile memory cell with an Au/Ni/HfO2/Ni structure (Wang J. J. et al., 2018). Figure 3A depicts the bipolar resistive switching behavior, wherein the inset illustrates the device structure obtained using a scanning electron microscope. Figure 3B schematically depicts the coupling of memristor with the simplified CMOS circuit. Herein, the memristor integrates the input spikes from pre-synapse, the comparator chip estimates whether the membrane potential exceeds the threshold, and the spike generator chip triggers an output spike after the threshold is attained. Subsequently, the reset chip generates a pulse to achieve the primary state of the LIF neuron. Additionally, a hybrid artificial neuron randomly generates spikes owing to the random resetting event of the memristor under a certain stimulus beyond the threshold voltage. Thus, a stochastic LIF artificial neuron was implemented. Furthermore, the frequency of the output spikes can be tuned by changing the threshold voltage. The maximum output frequency reaches up to 100 kHz, as illustrated in Figure 3C. Figure 3D depicts another implementation of an LIF neuron based on non-volatile memory, wherein the inset represents the SET process and schematic structure. The probability of firing can be tuned based on the interval of input impulses. Figures 3E,F depict the output spikes under excitatory input current pulses (4 mA). Herein, we observed that smaller the interval, lesser is the number of pulses required to trigger output spikes.

FIGURE 3
www.frontiersin.org

Figure 3. (A) Bipolar resistive switching characteristics of a non-volatile memristor. Inset shows the scanning electron microscope images of a cross-section of the memristor. (B) Circuitry of artificial neuron equipped with complementary metal–oxide–semiconductor (CMOS) circuit. (C) The stochastic output behavior under a certain stimulus. Based on the impulse neuron characteristics of hafnium oxide (HfO2) memristor, the neuron response is obtained for an input of Vth = 0.7 V at 100 Hz (Wang J. J. et al., 2018). (D) An abrupt SET process in I/V sweeps of SiOx resistive random-access memory (RRAM) cells during non-volatile memory switching. Device output in the leaky integrate-and-fire neuronal model with the voltage response measured based on an inductive current pulse of 1 mA immediately after excitation of a 4 mA current pulse at an interval of (E) 640 ms and (F) 215 ms (Mehonic and Kenyon, 2016).

Another appealing approach of constructing artificial neurons is to use the TS device, which can mimic the summator behavior of a biological neuron. Moreover, the volatile nature of TS renders the reset and spike generator circuits unnecessary. Previously, a simple hardware implementation of SNN using VCM devices was accomplished (Woo et al., 2017). Herein, a non-volatile memory based on TiN/HfO2/Ti/TiN was used as a synapse, whereas the dynamic neuron behavior was fulfilled by a TS device (Figure 4A). Figure 4B illustrates the schematic of the SNN. The TS device was coupled with a transistor in series and a capacitor in parallel. No output current spike was initially detected until the capacitor, which serves as the summator, was completely charged (Figure 4C). The TS device determines whether the output voltage spike converted from the current spike must be transmitted via an operational amplifier. Moreover, the leaky behavior was achieved only before the first output spike and the refractory period was absent. Another study reported an ECM-based TS neuron implemented using an Ag/SiO2/Pt structure (Zhang et al., 2018; Figure 4D). Figure 4E depicts the completely functional LIF artificial neuron obtained by connecting a load resistor. As the value of neuron membrane potential was estimated using the threshold voltage, additional threshold sensing circuits based on capacitor are not required. The single TS-based artificial neuron can trigger output spikes automatically. The firing rate can be tuned by the interval and width of input pulses, whereas the refractory time relies on the input voltage. Furthermore, the inherent random formation and rupture of Ag CF affected the output spike rate. As depicted in Figure 4F, tuning the input pulse width can generate stochastic outputs with different firing rates. Another implementation of a Cu filament-based TS device (Wang et al., 2021) presented LIF neuron behavior by coupling the device with two resistors in series and a capacitor in parallel (Figure 4G). The capacitor imitates the membrane potential, whereas the resistors limit the total current intensity and divide the input voltage. Figure 4H depicts the measured stochastic spike events of the CuS/GeSe-based neuronal circuit. Based on the firing probability, an uncertain stochastic artificial network with probabilistic inference was finally implemented. After unsupervised deep learning of breast cancer data, the results revealed that the recognition accuracy rating of stochastic neurons is substantially better than that of conventional deterministic neurons, particularly at the overlap area of benign and malignant cancers (Figure 4I). However, the generation of sneak current is an issue in the cross-bar architecture of memristors. To address this, memristors with self-rectified behavior is one of the solutions. A artificial neuron based schottky barrier was implemented (Dang et al., 2019). Herein, the formation and diffusion of Cu-based CF dominate the stochastic output spikes. Additionally, the firing rate of the stochastic neuron relies on the amplitudes of input pulses.

FIGURE 4
www.frontiersin.org

Figure 4. (A) Threshold switching (TS) characteristics of the valence change mechanism (VCM) cell at a compliance current of 10 μA. (B) Schematic of the neuromorphic system comprising neurons and synapse elements. (C) Output dynamics of VCM-based artificial neuron (Woo et al., 2017). (D) Volatile-switching behavior of Pt/FeO3/Ag device. Inset shows multiple cells with cross-bar structure and the cross-section view of one device under high-resolution transmission electron microscopy inspection. (E) Dependence of integrate-and-fire on the input pulse interval. (F) Raster plot of firing patterns with respect to time for a memristive neuron with 10 MΩ in series on a dedicated circuit board (Zhang et al., 2018). (G) Schematic of a neuronal circuit with the input voltage pulses originating from the signal generator. (H) Measured stochastic spike events of the CuS/GeSe-based neuronal circuit. (I) Comparison of the output probability of deterministic and stochastic neurons (Wang et al., 2021).

The emerging two-dimensional (2D) materials, such as graphene oxide (Wang et al., 2017), WS2 (Kumar et al., 2019), and MoS2 (Li et al., 2018), are promising candidates for constructing energy-efficient memristors owing to their advantages in terms of thickness and high metal ion mobility. A study implemented (Hao et al., 2020) a planar memristive device with the structure of Ag/monolayer MoS2/TiW (Figure 5A). The distance between the two electrodes is essential for tuning the property of a memristor because the device exhibits volatility only when the distance is greater than 500 nm. Figure 5B illustrates the realization of the LIF behavior of an MoS2-based memristive device under a continuous pulse train without an auxiliary circuit. The obtained simple neuron network implemented the image classification function by connecting four memristive synapses. The images are encoded into the pulse train, input into the synapses, and the firing event reveals the classification result (Figure 5C). Another approach (Dev et al., 2020) of obtaining an energy-efficient device is to construct a vertical structure using monolayer MoS2. The stochastic LIF behavior can be achieved by operating the TS device at 0.3 V and maintaining an endurance of up to 5 × 106 cycles. Moreover, graphene is used as the inert electrode to further reduce the thickness of the TS device (Kalita et al., 2019). Figure 5D depicts the optical image of the memristor device. Based on the formation and rupture of Ni-based CF, this graphene/MoS2/Ni neuron can stochastically generate output spikes with LIF dynamics. Figures 5E,F illustrate the pulse amplitude-modulated frequency response. As indicated in the figures, increasing the input pulse amplitude can effectively increase the firing probability. Interestingly, the refractory period was obtained owing to the diffusion of CF.

FIGURE 5
www.frontiersin.org

Figure 5. (A) Schematic of a planar artificial neuron with an Ag/MoS2/TiW structure. (B) Realization of leaky integrate-and-fire behavior of MoS2-based memristive device under a continuous pulse train. (C) The classification result based on the presence and absence of neuron firing (Hao et al., 2020). (D) Optical image of the MoS2/graphene threshold switching (TS) device. Output spikes under an impulse train with amplitude modulation at (E) 8 V and (F) 8.5 V. The integration time, refractory period, and stochastic output behavior are obtained (Kalita et al., 2019).

Although unidirectional TS devices can mimic the dynamic neuron behavior only under the excitatory stimulus, the inhibitory stimulus is essential in the human brain neuron system. Therefore, ovonic TS devices were developed, which initiated an unprecedented path of using both stimuli simultaneously (Kim T. et al., 2020). Figure 6A illustrates the electrical property of a prototypical LIF neuron based on the Ag/HfOx/Ag device. After optimized annealing using N2, a high on/off ratio of approximately 6 × 107, low threshold voltage of 0.19 V, low variability of 0.014, and endurance of over 106 cycles were achieved. The LIF neuron behavior under both polarity of applied voltage, namely the excitatory and inhibitory stimuli, was obtained by connecting a capacitor in parallel. Figure 6B illustrates the schematic impulse stimulus train. The firing rate can be modulated based on the inhibitory pulse amplitude in the opposite direction, as depicted in Figure 6C. This progress verified the role of the inhibitory postsynaptic potential property in a single artificial neuron and the feasibility of the synaptic weight change through the bipolar TS device. Figure 6D depicts another implementation of an artificial neuron based on an ovonic TS device. As indicated in the figure, connecting a capacitor in series and a MOSFET on the gate renders the artificial neuron capable of handling spatial and temporal pre-synaptic spikes. Figure 6E illustrates the dynamics of the neuro-transistor IF process. Regardless of the deterministic output spikes obtained from the aforementioned studies, stochastic computing based on ovonic TS neurons remains a suitable choice owing to its ability of simultaneously withstanding excitatory and inhibitory stimuli in a single neuron.

FIGURE 6
www.frontiersin.org

Figure 6. (A) Ovonic threshold switching (TS) behavior in Ag/HfO2/Ag device. (B) Schematic of the input pulse program to verify the property of inhibitory postsynaptic potential (IPSP). (C) Firing rate modulation based on the inhibitory pulse amplitude in the opposite direction (Kim T. et al., 2020). (D) Scanning electron micrograph of the plane view of the integrated dynamic pseudo-memcapacitor and a transmission electron micrograph of the cross-section. (E) Dynamics of the neuro-transistor integrate-and-fire process, which exhibits the input pulse train (top panel), membrane potential (middle panel), and output spike sequence of axon membrane current (bottom panel) (Wang Z. et al., 2018).

Ferroelectric Neuron

Ferroelectric materials were discovered nearly a century ago (Valasek, 1921) and used to develop ferroelectric RAM (FeRAM) owing to their spontaneous polarization (Scott and Paz de Araujo, 1989). In the late twentieth century, the development of thin-film growth technology confined the thickness of the ferroelectric films to 100 nm. Consequently, the progression of ferroelectric-based devices was delayed for nearly 30 years. In recent years, the development of thin-film deposition technology and the discovery of new ferroelectric materials with CMOS process compatibility led to the fabrication of nanoscale thin films with high crystal quality on a large scale, reviving the investigations on ferroelectric memory devices.

Hafnium oxide (HfO2) is one of the most popular materials with CMOS compatibility as they exhibit ferroelectric property at a thickness of less than 10 nm (Böscke et al., 2011). Moreover, stochastic nucleation of the ferroelectric domain was discovered in HfO2-based FeFET (Mulaosmanovic et al., 2017; Alessandri et al., 2018). Typically, the stochastic domain nucleation occurs in the proximity of its coercive electric field (Shin et al., 2007), whereas that in HfO2 can occur in sub-coercive electric field regions, indicating the potential multilevel resistance states for inference neuromorphic computing. Furthermore, impulse dependence measurement was implemented on the FeFET device with a polysilicon/TiN (8 nm)/Si:HfO2 (10 nm)/SiON (1.2 nm) gate stack (Mulaosmanovic et al., 2018b). Figures 7A,B depict the schematic structure and transmission electron microscopy image of a nanoscale ferroelectric transistor, respectively. Typically, the domain in ferroelectric devices can be reversed using a single pulse. Additionally, the FeFET demonstrates binary storage owing to the ferroelectric polarization switching (polarization-up and polarization-down). In this case, sharp switching from HRS to LRS occurs only after several identical pulse stimuli with a pulse amplitude of 2.2 V and pulse width of 1 μs are generated, as shown in Figure 7C. Ferroelectric domains near the grain boundary are considered to have a lower coercive field than that within the grain. Initially, domains close to the grain boundary reverse under impulse stimulus, and the polarization orientation inside the grain subsequently undergoes reversal owing to the continuous application of impulses. This is similar to the integration behavior in neurons. When the polarization reversal accumulates to a certain extent, the polarization orientation reverses on a macroscale, increasing the channel current. If the current attains the threshold when a CMOS auxiliary circuit is connected, the firing of impulses is initiated (Figure 7D). Subsequently, the HfO2-based FeFET cell resets to the original state using a reset circuit and awaits the firing of the next impulse. The aforementioned process is the typical LIF behavior in artificial neurons. Figure 7E illustrates the pulsing scheme for implementing an LIF cycle and Figure 7F depicts the repeated impulses of IF cycles with different pulse amplitudes. The probability of firing can be manipulated using the amplitude of the applied pulse. The implementation of FeFET-based artificial neurons depletes the traditional CMOS neuron components. However, a comparator circuit can reduce energy consumption. Huang‘s group (Chen et al., 2019) successfully implemented a completely functional LIF neuron using a partially crystallized Hf0.5Zr0.5O2 (HZO) layer-based FeFET and a resistor rather than the large capacitor and six transistors in CMOS neurons. Furthermore, they implemented the spike-frequency adaptation function. Owing to the dominant accumulation effect of the ferroelectric layer, the time interval of firing spikes increased during the firing of the initial few spikes until the polarization degradation reduced the accumulation effect. Both excitatory and inhibitory inputs were connected to the HZO-based LIF neuron by connecting a resistor and FET in series to obtain stochastic output signals (Luo et al., 2019). Additionally, SNNs completely based on HZO were accomplished recently (Dutta et al., 2020). Manipulating the cumulative effect of polarization renders the HZO-based FeFET as artificial synapses and LIF neurons. Figure 7G depicts the circuitry of the FeFET-based SAN. Herein, the output spike frequency decreases under a continuous pulse train, indicating the frequency adaptation behavior (Figure 7H). Furthermore, supervised learning on an Modified National Institute of Standards and Technology (MNIST) dataset was performed using a three-layered SNN. The final image recognition accuracy was approximately 95.4%, which was equivalent to that obtained from software simulation. Using the Bayesian hyperparameter optimization approach, stochastic noise induced by the random nucleation of ferroelectric devices was employed to impact the recognition accuracy. Figure 7I illustrates the comparison of test accuracies with and without noise. As indicated in the figure, a stochastic SNN with inference can aid in improving the classification accuracy, particularly at the 4-bit weight.

FIGURE 7
www.frontiersin.org

Figure 7. (A) Structure of a nanoscale ferroelectric transistor. (B) Transmission electron microscopy (TEM) image of a ferroelectric transistor device. (C) Accumulative polarization reversal in ferroelectric transistors. The inset depicts the sharp switching from OFF to ON after receiving several identical impulses. (D) Schematic of an axon-hillock complementary metal–oxide–semiconductor (CMOS) neuron. (E) Pulsing scheme for implementing an integrate-and-fire (IF) cycle and an arbitrary refractory period, after which a new IF cycle begins (Mulaosmanovic et al., 2018a). (F) Consecutively repeated IF cycles for different values of VP. (G) Circuit implementation of a ferroelectric field-effect transistor (FeFET)-based spiking neuron. The leaky integrate-and-fire (LIF) neuron is implemented using one FeFET and three transistors (M1–M3). Biologically inspired homeostatic plasticity is implemented using additional transistors (M4–M6). (H) The decreasing output spike frequency exhibiting spike-frequency adaptation. (I) Comparison of test accuracies for different bit precisions of weights with and without noise (Dutta et al., 2020).

Spintronic Neuron

The prediction of the spin-transfer torque effect (Berger, 1996; Slonczewski, 1996) led to the manipulation of the magnetization state of ferromagnetic materials with electrical current and systematic investigations of spintronic devices. Magne tic tunneling junction (MTJ) composed of two metallic ferromagnetic layers and a tunnel oxide layer is a basic cell of spintronic devices. The thicker ferromagnetic layer with pinned spin polarization is referred to as the pinned layer (PL) or reference layer, whereas the thinner ferromagnetic layer is called the free layer (FL) as its magnetization direction can be altered by the injection of current. When the magnetization direction of the FL is parallel to that of the PL, electrons with the corresponding spin orientation conveniently pass through the tunnel layer, and the device exhibits LRS. Conversely, when the FL is anti-parallel to the PL, the device exhibits HRS. This phenomenon is referred to as the tunnel magnetoresistance effect (Fong et al., 2016). Typically, the spin directions of electrons in ferromagnets are spin-up and spin-down. While the spin electrons matching the direction of the magnetic field can pass through the ferromagnet efficiently, other spin electrons are reflected owing to the momentum conservation. This phenomenon is called the spin filter effect (SFE). When the injected electrons flow from PL to FL, the direction of the magnetic polarization in FL adjusts itself to be identical to that of the PL owing to the corresponding spin electrons. Consequently, the MTJ cell exhibits LRS. By contrast, when the external electric field drives spin electrons from FL to PL, the matched spin electrons pass through the PL and unmatched spin electrons bounce back to the FL owing to the SFE, resulting in the opposite magnetic field orientation of FL and PL. This phenomenon is referred to as spin-transfer torque (STT). Subsequently, the resistance of the MTJ cell changes from LRS to HRS. Hence, the MTJ device is considered to possess bipolar binary memory. Additionally, the irregular magnetic domain and thermal noise result in the stochastic domain reversal (Devolder et al., 2008), rendering the device suitable for probabilistic computing. An artificial neuron was developed with a structure of (W/TiN) electrode/Ta/Pt/(Co/Pt)6/Co/Ru/(Co/Pt)3/Co/W/Co2Fe6B2 PL/MgO tunnel layer/Fe(Co2Fe6B2) FL/W/Co2Fe6B2 FL/MgO capping/W/(Ta/Ru) electrode (Kondo et al., 2018). The IF function was successfully mimicked using an auxiliary reset circuit, wherein the pulse width was 400 μs and amplitude was 1.15 V at an assistant magnetic field of 750 Oe. Initially, the magnetic domain at the grain boundary reversed owing to the energy barrier at the grain boundary of the MgO layer being lower than that observed inside the grain. Subsequently, continuous impulse stimulus reversed the magnetic domain within the grain achieving the integration behavior. When all domains in FL were anti-parallel to PL, the MTJ cell realized the firing function. Figure 8A depicts the resistance vs. voltage curve of another implementation of an STT–MTJ neuron (Kim D. W. et al., 2020). Herein, the integration and reset processes of membrane potential exhibit excellent endurance, as illustrated in Figure 8B. Figure 8C depicts the basic neuronal IF behavior achieved after coupling the device with appropriate CMOS circuits to reset the MTJ cell. Additionally, the dependence of the integration behavior on the input spike number and amplitude were investigated further. When the amplitude of the input stimulus increased from -0.5 to -0.7 V, no integration behavior was observed. Furthermore, the pattern recognition accuracy of a neural network constructed using an IGZO-based artificial synapse was approximately 76% owing to the lack of proper learning algorithms to train the MTJ-based neural network.

FIGURE 8
www.frontiersin.org

Figure 8. (A) Resistance vs. voltage curve in the STT–MTJ device. (B) Repeated integration characteristic of the STT–MTJ. (C) Neuron circuit and integrate-and-fire behavior (Kim D. W. et al., 2020). (D) Functioning of the artificial neuron and synapse based on antiferromagnetic SOT–MTJ. (E) Dependence of switching probability on the frequency of incoming pulse trains (Kurenkov et al., 2019).

Another approach to construct a magnetic neuron device involves using a spin–orbit torque (SOT)-cell, which is composed of one non-magnet (antiferromagnet) layer, one ferromagnet layer with in-plane magnetization, and a heavy metal electrode. Owing to the spin Hall effect and Rashba effect (Mihai Miron et al., 2010; Shim et al., 2017), the SOT-cell exhibits stochastic magnetization switching. This method has been theoretically proposed to be applied to probabilistic neural computing (Biswas et al., 2015; Sengupta et al., 2015, 2016, 2018) and experimentally proved to be feasible (Ostwal et al., 2018). The probability of domain switching increases with the increasing SOT current amplitude but independent of its polarity. Artificial synapse and neuron have been implemented with a stack of Ta (3 nm)/Pt (2.5 nm)/ Pt38Mn62 (9.5 nm)/Pt (0.6 nm)/[Co(0.3 nm)/Ni(0.6 nm)]2/Co (0.3 nm)/MgO (1 nm)/Ru (1 nm) and hall channel of Ta/Pt/PtMn layer for SOT switching (Kurenkov et al., 2019), which equipped the antiferromagnet for the construction of SOT–MTJ. Figure 8D depicts the dynamics of artificial MTJ-based synapse and neuron. Initially, multilevel states were achieved by adjusting the width of impulses from 1 s to 1 ns, and the spike-timing-dependent plasticity function of the artificial synapse was repeatedly measured. A CMOS circuit was used to compare the threshold and trigger the firing action owing to the non-volatile property of the device. Additionally, a pulse train with a width of 100 ns was used to stimulate the SOT–MTJ-based neuron. Figure 8E illustrates the firing (domain reversal) probability as a function of the input frequency. The response frequency of the input pulse reached up to 80 MHz.

In comparison with binary memory devices, memory devices with multiple states are more important for neural computing. Ideally, current-induced domain wall motion in the direction of electron flow is expected to address the bottleneck of MTJ-based neurons (Sharad et al., 2012, 2013). Additionally, multilevel resistance states have been experimentally achieved in an STT–MTJ device (Lequeux et al., 2016), which was realized using pinned domains caused by continuous domain switching. This type of artificial synapse can be naturally coupled to either CMOS neurons or other artificial neurons to implement the firing behavior. Furthermore, other simulation approaches have been utilized to control the wall motion (Hassan et al., 2018; Azam et al., 2020). Although manipulating the nanosized skyrmion can yield multilevel states in ferromagnets (Azam et al., 2018; Chen et al., 2018; Liang et al., 2020), artificial synapses or neural components based on skyrmion have not been reported thus far.

Phase-Change Neuron

Phase-change materials are a series of alloys that can reversibly transform between amorphous and crystalline states with different optical and electrical transport properties. Typically, the composition includes a ternary alloy of Ge, Sb, and Te, such as Ge2Sb2Te5 (GST). Initially, the incubation of crystal growth occurs inside the amorphous region owing to the application of a low yet wide voltage pulse and Joule heat. Subsequently, the nanocrystals gradually grow until the entire amorphous region transforms into a polycrystalline region. During this process, the resistance of a phase-change memory (PCM) cell changes from HRS to LRS. Conversely, when a short yet high voltage pulse is applied, certain sections in the polycrystalline region melt and cool down rapidly, resulting in an amorphous region. The resistance of the PCM cell transforms from LRS to HRS, indicating the reset process. Additionally, C2C and D2D variations resulting from the random crystal nucleation and the position of Poole–Frenkel sites for carrier transport in the amorphous region render the PCM a key enabling technology for stochastic neural computing.

Figure 9A depicts a typical PCM cell comprising a top electrode, a pillar-shaped bottom electrode, confined Joule heating induced by current, and a phase-change material with a hemispherical amorphous region (Wright et al., 2012). Figure 9B illustrates the corresponding typical resistive switching characteristics. Figure 9C depicts a simple firing circuit that aids in realizing the LIF behavior (Tuma et al., 2016). This circuit can mimic the generation of output spikes for the postsynaptic neuron. However, the PCM cell remains in the LRS owing to the non-volatile storage. Based on this phenomenon, a spiking neuron auxiliary circuit with a self-resetting function was proposed (Cobley et al., 2018). Herein, automatic post-spiking resetting was achieved by adding a feedback reset path. After attaining a conductance threshold, output spikes were fired and the phase-change device automatically reset to the initial state, awaiting the next firing. Both the hardware implementation and corresponding algorithms of a PCM-based neural network are equally important. Two PCM neurons were proposed to implement a backpropagation algorithm for hardware neural networks (Li C. et al., 2020). Herein, the forward propagation and backpropagation signals are stored in one PCM cell each, eliminating the requirement of additional memory units. The experiment verified that the total computing area can be reduced to increase energy consumption efficiency.

FIGURE 9
www.frontiersin.org

Figure 9. (A) Schematic of the phase-change memory (PCM) mushroom-type cell. (B) Experimental I–V curve exhibiting a threshold switching voltage from amorphous to crystalline state. (C) Phase-change integrate-and-fire (IF) neuron circuit based on a single phase-change cell (Wright et al., 2012). (D) An optical neuron based on a GST cell. (E) Gradual change of transmission owing to different degrees of amorphization of GST ranging from 0% (crystalline) to 100% (amorphous). (F) Behavior of the proposed IF neuron in the spiking neural network (SNN) exhibiting the variation of the membrane potential under the action of incident pulses, thus resulting in the IF action (Chakraborty et al., 2018).

Owing to the highly contrasting optical properties in the amorphous and crystalline states, PCM is generally used for optical devices. A recent report (Stegmaier et al., 2017) indicated that PCM cells exhibit sub-ns “write” speeds under photonic laser pulse stimuli. Typically, the PCM cell can be heated using the applied laser pulses and transform from an amorphous state with low optical transmission to a crystalline state with high optical transmission. Figure 9D depicts a microring resonator that can be added to obtain an all-photonic phase-change spiking neuron (Chakraborty et al., 2018). The phase-change material can partially absorb the laser wave passing through the microring resonator and its temperature increases owing to the low thermal conductivity (Lyeo et al., 2006). Therefore, when the temperature in the corresponding region attains the melting point (Sebastian et al., 2014), the crystal nucleation occurs in the amorphous region. Subsequently, the optical transmission of the GST cell gradually changes (Figure 9E), which is equivalent to the electric conductance evolution in traditional neural systems. Figure 9F depicts the IF action in the simulated SNN. These simulation results predict that the writing time can be as low as 200 ps with an average energy of 4 pJ in a “write” step. Further research on optical spiking neural networks (Feldmann et al., 2019) determined that increasing the input optical energy at a fixed wavelength initiates the activation function in the output transmission, which can be used to define the firing action. A feedback path was introduced to reset the GST cell to its primary state. Owing to the high bandwidth and fast data transfer rates intrinsic to light, the developed all-photonic neural network can operate several orders of magnitude faster than electrical brain-inspired neural networks, handling large amounts of data in a short time.

Metal–Insulator Transition Neuron

Unlike the phase-change material, wherein the transition occurs between amorphous and crystalline states, materials based on metal–insulator transition (MIT) can reversibly alter from a crystalline metal to an insulator phase. Both electrons and heat can evoke the transition, and the randomness in nucleation leads to the C2C and D2D difference.

Various materials, such as VO2 (Choi et al., 1996), TiOx (Lee D. et al., 2015), NbOx (Kumar et al., 2017b), SmNiO3 (Ha et al., 2011), and compounds such as AM4Q8 (A = Ga, Ge; M = V, Nb, Ta, Mo; Q = S, Se) (Abd-Elmeguid et al., 2004; Pocha et al., 2005) exhibit MIT characteristics. Among these, VO2 and NbOx are the most popular materials used for neuromorphic computing. The typical structure of an MIT device is electrode–MIT material–electrode, and the electrical transport exhibits typical volatile behavior (Figure 10A). Figure 10B depicts the simplest neuron circuit, wherein a resistor and a capacitor are connected in series and parallel, respectively, to obtain an oscillator. Typically, the value of resistance of the load resistor exists in between that of the LRS and HRS. When the external voltage is applied, the MIT device is initially set to LRS, which decreases the divided voltage across the MIT device. Once the divided voltage decreases below the hold voltage, the resistance of the MIT device is reset to HRS. By contrast, when the divided voltage surpasses the threshold, the device is set to LRS again. Figure 10C indicates that the output voltage oscillates owing to the repetition of the set and reset process, during which the frequency can be varied by the load resistor (Gao et al., 2017; Woo et al., 2019). Further research indicated that the applied voltage can regulate the output frequency (Zhang et al., 2020), as depicted in Figure 10D. Additionally, a microwave oscillator circuit was proposed (Zhao and Ravichandran, 2019) to generate output oscillation frequencies as high as 3 GHz with energy consumption as low as 15 fJ/spike. Furthermore, the output voltage frequency can be adjusted based on the external pressure by coupling the device with an afferent sensor, such as a piezoelectric device (Figure 10E). Figure 10F illustrates the protective inhibition behavior exhibited by the device when the applied pressure is extremely high. The result indicates the potential applicability of MIT devices in neurorobotics.

FIGURE 10
www.frontiersin.org

Figure 10. (A) Measured I–V threshold switching characteristics of the Pt/NbOx/Pt device. Inset depicts the schematic of the fabricated Pt/NbOx/Pt device. (B) Circuit configuration of an oscillating neuron node with the Pt/NbOx/Pt device and a load tunable resistor as a synapse. (C) Oscillation characteristics when the load resistor is 3.6 kΩ and output frequency is 2 MHz (Gao et al., 2017). (D) Dependence of the output frequency on the input voltage amplitude. (E) Schematic of the artificial spiking mechanoreceptor system. (F) Dependence of the output spike frequency on the pressure. When the pressure is extremely high, frequency adaptation action protects the device (Zhang et al., 2020).

A completely functional HH neuron circuit (top panel in Figure 11A) was initially proposed using two NbOx oscillating circuits (Pickett et al., 2013) and the extended version included two VO2-based memristors (Yi et al., 2018). Herein, each memristor emulates the dynamics of the Na+ and K+ channels of a biological neuron membrane. When a sub-threshold input is applied, the output membrane potential fluctuates and returns to the initial state, indicating the implementation of the leaky behavior in the HH model. If a super-threshold input voltage is applied, an all-or-nothing spike with a refractory period produces the hyperpolarization potential. A single VO2-based active memristor neuron can exhibit the spiking behavior equivalent to that of 23 biological neurons spiking behaviors, which is substantially better than that of the contemporary software deep learning (Izhikevich, 2004). Owing to the random transition between metal and insulator states, the output spikes exhibit stochastic behavior under a certain input impulse (Figure 11B), which is important for the construction of SNN with inference. The left panel in Figure 11C illustrates an FHN neuron circuit with a VO2 memristor in series and a tunable resistance (Parihar et al., 2018). Replacing the tunable resistance with a transistor and adding a thermal noise voltage source [η(t)] (right panel of Figure 11C) renders this neuron circuit sufficiently competent to manipulate the random distribution of threshold voltage of the VO2-based memristor from both thermal and electrical aspects and control the stochastic firing rate rather than the integration rate (Tuma et al., 2016). Figure 11D illustrates the random output spiking waves obtained from various input voltages. The maximum firing rate can reach up to 30 kHz and energy consumption is 196 pJ/spike owing to the fast transition speed of MIT materials. Figure 11E depicts the firing rate as a function of vgs based on the introduction of the thermal noise voltage source [η(t)]. The experimental results concur with those obtained from the analytical model for Gaussian distribution, validating that the output spikes of VO2 neurons demonstrate true stochasticity.

FIGURE 11
www.frontiersin.org

Figure 11. (A) Top panel depicts a circuit diagram of a Hodgkin–Huxley (HH) neuron based on two VO2 memristors. The bottom panel denotes the device structure. (B) Stochastic output spikes under a constant voltage (Yi et al., 2018). (C) The left panel represents the VO2-based neuron circuit with the VO2 device in series with a tunable resistor. The right panel indicates the MIT neuron with the thermal and threshold noises. (D) Instantaneous firing under multiple values of vgs. (E) Firing rate plotted against vgs using the analytical and experimental results for different vh distributions (Parihar et al., 2018).

Additionally, chip-level thermal management may face severe challenges if pure VO2-based neuron is introduced to the integrated circuit owing to the low Mott transition at approximately 67°C (Chen et al., 2016). This can be mitigated by introducing a dopant that can increase the MIT critical temperature (TC) to approximately 96°C (Krammer et al., 2017). In comparison with VO2, NbOx is considered a more suitable option for applications at chip level owing to its higher TC (810°C) (Páez Fajardo et al., 2021).

Discussion

Table 1 presents a detailed comparison of the hardware implementations of various artificial neurons in terms of the implemented neuron model, support circuit complexity, energy consumption, firing frequency, on/off ratio indicating the capability of synaptic weight accumulation, and advanced functionality. As indicated in the table, traditional CMOS-based artificial neuron is advantageous in terms of energy efficiency owing to the mature processing technology. Conversely, MIT-based artificial neurons can achieve most types of output spike models. Additionally, the complex HH model can be mimicked using only a resistor and a capacitor. Most implementations are bio-mimetic neurons aiming to emulate the basic behavior of biological neurons and require additional hardware, such as resistors and capacitors. However, in comparison with the CMOS-based neurons, the cost of additional hardware in emerging neurons is negligible, aiding the scaling of the overall chip energy, size, and complexity. Moreover, most of the emerging bio-mimetic neurons are two-terminal devices and compatible with CMOS technology, which renders them applicable in different fields.

TABLE 1
www.frontiersin.org

Table 1. Comparison between various hardware implementations of artificial neurons.

Although the aforementioned artificial neurons exhibit stochastic neuronal functions, the unique advantages and disadvantages of each emerging stochastic neuron must be addressed. For instance, the endurance of over 1015 of an MTJ cell is outstanding. However, the major challenge for MTJ-based neurons is constructing ultrahigh-density networks using complex processing units (Grollier et al., 2016). Additionally, the tunneling magnetoresistance ratio of MTJ cells is experimentally determined to be 600% to date (Ikeda et al., 2008), which implies that a higher number of neuron cells are required to integrate the pre-synaptic input spikes. Conversely, filament-based neurons exhibit on/off ratios, accessible endurance cycles, low operating voltage, and adequate energy efficiency. However, the volatile memory in most cases can respond only in one direction of the input stimulus, such as the excitatory postsynaptic potential. Additionally, further optimizations of fabrication processes are inevitable for ovonic TS. As the electrical field required for the oxygen ions to escape the lattice is 10 MV/cm (Wong et al., 2012) and that of Ag+ to diffuse in SiO2 is less than 1 MV/cm (Waser and Aono, 2007; Yang et al., 2013), ECM-based devices are suitable for constructing artificial neurons with low power dissipation. Moreover, the inherent mechanism of TS relaxation caused by the dissolution of metal particles renders the relaxation time of an ECM cell large and restricts the output spike frequency (Lee et al., 2019). Although the TS in MIT devices is ultrafast (up to several nanoseconds) in terms of switching speed, the on/off ratio is generally less than 102. Moreover, the range of the synaptic weighted sum can be restrictive, resulting in the requirement of numerous neurons to integrate the input spikes. Nevertheless, MIT-based neurons can mimic most biological spiking models (Yi et al., 2018). Similar to MIT-based neurons, PCM neurons demonstrate ultrafast switching speed, excellent endurance, high energy efficiency, and scaling down characteristics. However, additional spike generator and feedback circuits are required to trigger the output spikes and reset the device to the initial state, respectively, after the non-volatile inherence causes the firing action. Furthermore, the complexity and size of auxiliary circuits should be scaled down. FeFET-based neuron demonstrates adequate energy efficiency, high output spike frequency, and responds to both excitatory and inhibitory stimuli in a single cell. However, FeFET-based artificial neurons are three-terminal devices that need to be scaled down further. Moreover, the reported FeFET-based neuron with self-resetting and automatic firing functions was equipped with a partially crystalline ferroelectric thin film, rendering it difficult to establish a standard fabrication procedure of ferroelectric films.

Based on the aforementioned discussion and taking predictions about technology scaling of the next decade into account, one can collect a number of requirements for SANs: (i) Stochastic output. The frequency distribution of the output (spiking) should be random and unpredictable. (ii) Endurance. The emerging neurons must exhibit a high endurance over 106 cycles as the spiking algorithms rely on a continuous operation procedure. (iii) On/off resistance ratio. To reduce the quantity of SANs used in SNN and decrease the total energy consumption, a high on/off resistance ratio (103) can improve the capacity of summing the weights from potentiated and depressed synapses. (iv) Energetic efficiency. Though the accuracy of SNN is not extremely high comparing to the ANN, SNN may take an important role in sensors or embedded systems, thus requiring low-power consumption. Energy consumption per spike should be as low as possible to maintain the functionality for long times even in battery-operated devices. (v) Automatic reset. Stochastic neurons need to automatically reset to their primary states after each IF cycle. Hence, the accessory circuit to reset the neurons is needless, which is beneficial to the chip size and energy efficiency.

Summary and Outlook

SANs that can effectively mimic the sources of background noise with true stochasticity are essential components in SNNs when used for probabilistic computing. Although the emerging artificial neurons can imitate the basic functionalities, such as the all-or-nothing firing, refractory period, tunable output frequency, and frequency adaptation, they cannot mimic advanced functionalities of an actual biological neuron, such as lateral inhibition, variable spiking modes, and chaos. Further analyses are required to ensure that the artificial neurons are more bio-mimetic, which warrants dedicated investigations on device dynamics.

Although the hardware primitives of SANs are at the early stages of development, the corresponding training algorithms should be developed considering the future applications of randomness in computation. Appropriate algorithms can exploit the potential of unique characteristics in the emerging SANs to attain high computational efficiency, low power consumption, and maintain dynamic artificial neurons.

Comprehensive simulation of the inference functionality in SNN requires a close collaboration of different fields, such as biological neuroscience, material science, microelectronics engineering, and computational neuroscience. Biological scientists must reveal the operation and functionality of a human brain for the benefit of researchers in other fields and lay the foundation for constructing multi-functional and universal artificial intelligence systems. This close collaboration of scientists from various fields can significantly enhance the accuracy of SNNs.

Author Contributions

FZ proposed and guided the direction of the manuscript. Z-xL wrote the main body of this article. X-yG collected the literatures and drew the figures and captions. JW wrote the abstract and summary. All authors contributed to discussions regarding the manuscript.

Funding

This work was supported in part by the National Natural Science Foundation of China (Nos. U20A20209 and 61874125), the Strategic Priority Research Program of Chinese Academy of Sciences (No. XDB32050204), and the Zhejiang Provincial Natural Science Foundation of China (No. LD19E020001).

Conflict of Interest

The authors declare that the research was conducted in the absence of any commercial or financial relationships that could be construed as a potential conflict of interest.

Publisher’s Note

All claims expressed in this article are solely those of the authors and do not necessarily represent those of their affiliated organizations, or those of the publisher, the editors and the reviewers. Any product that may be evaluated in this article, or claim that may be made by its manufacturer, is not guaranteed or endorsed by the publisher.

References

Abd-Elmeguid, M. M., Ni, B., Khomskii, D. I., Pocha, R., Johrendt, D., Wang, X., et al. (2004). Transition from Mott insulator to superconductor in in GaNb4Se8 and GaTa4Se8 under high pressure. Phys. Rev. Lett. 93:126403. doi: 10.1103/PhysRevLett.93.126403

PubMed Abstract | CrossRef Full Text | Google Scholar

Alessandri, C., Pandey, P., Abusleme, A., and Seabaugh, A. (2018). Switching dynamics of ferroelectric Zr-Doped HfO2. IEEE Electron Device Lett. 39, 1780–1783. doi: 10.1109/Led.2018.2872124

CrossRef Full Text | Google Scholar

Azam, M. A., Bhattacharya, D., Querlioz, D., and Atulasimha, J. (2018). Resonate and fire neuron with fixed magnetic skyrmions. J. Appl. Phys. 124:152122. doi: 10.1063/1.5042308

CrossRef Full Text | Google Scholar

Azam, M. A., Bhattacharya, D., Querlioz, D., Ross, C. A., and Atulasimha, J. (2020). Voltage control of domain walls in magnetic nanowires for energy-efficient neuromorphic devices. Nanotechnology 31:145201. doi: 10.1088/1361-6528/ab6234

PubMed Abstract | CrossRef Full Text | Google Scholar

Berger, L. (1996). Emission of spin waves by a magnetic multilayer traversed by a current. Phys. Rev. B 54, 9353–9358. doi: 10.1103/PhysRevB.54.9353

PubMed Abstract | CrossRef Full Text | Google Scholar

Biswas, A. K., Atulasimha, J., and Bandyopadhyay, S. (2015). The straintronic spin-neuron. Nanotechnology 26:285201. doi: 10.1088/0957-4484/26/28/285201

CrossRef Full Text | Google Scholar

Böscke, T. S., Müller, J., Bräuhaus, D., Schröder, U., and Böttger, U. (2011). Ferroelectricity in hafnium oxide thin films. Appl. Phys. Lett. 99:102903. doi: 10.1063/1.3634052

CrossRef Full Text | Google Scholar

Carboni, R., and Ielmini, D. (2019). Stochastic memory devices for security and computing. Adv. Electron. Mater. 5:1900198. doi: 10.1002/aelm.201900198

CrossRef Full Text | Google Scholar

Chakraborty, I., Saha, G., Sengupta, A., and Roy, K. (2018). Toward fast neural computing using all-photonic phase change spiking neurons. Sci. Rep. 8:12980. doi: 10.1038/s41598-018-31365-x

PubMed Abstract | CrossRef Full Text | Google Scholar

Chen, C., Yang, M., Liu, S., Liu, T., Zhu, K., Zhao, Y., et al. (2019). “Bio-inspired neurons based on novel leaky-FeFET with ultra-low hardware cost and advanced functionality for all-ferroelectric neural network,” in Proceedings of the 2019 Symposium on VLSI Technology, (Kyoto: IEEE), T136–T137.

Google Scholar

Chen, L., Cui, Y., Shi, S., Liu, B., Luo, H., and Gao, Y. (2016). First-principles study of the effect of oxygen vacancy and strain on the phase transition temperature of VO2. RSC Adv. 6, 86872–86879. doi: 10.1039/C6RA19121E

CrossRef Full Text | Google Scholar

Chen, M. C., Sengupta, A., and Roy, K. (2018). Magnetic skyrmion as a spintronic deep learning spiking neuron processor. IEEE Trans. Magn. 54, 1–7. doi: 10.1109/Tmag.2018.2845890

CrossRef Full Text | Google Scholar

Choi, H. S., Ahn, J. S., Jung, J. H., Noh, T. W., and Kim, D. H. (1996). Mid-infrared properties of a VO2 film near the metal-insulator transition. Phys. Rev. B 54, 4621–4628. doi: 10.1103/PhysRevB.54.4621

PubMed Abstract | CrossRef Full Text | Google Scholar

Choi, S., Yang, J., and Wang, G. (2020). Emerging memristive artificial synapses and neurons for energy-efficient neuromorphic computing. Adv. Mater. 32:e2004659. doi: 10.1002/adma.202004659

PubMed Abstract | CrossRef Full Text | Google Scholar

Chua, L. (1971). Memristor-The missing circuit element. IEEE Trans. Circuits Syst. 18, 507–519. doi: 10.1109/TCT.1971.1083337

CrossRef Full Text | Google Scholar

Chua, L. O., and Sung Mo, K. (1976). Memristive devices and systems. Proc. IEEE 64, 209–223. doi: 10.1109/PROC.1976.10092

CrossRef Full Text | Google Scholar

Cobley, R. A., Hayat, H., and Wright, C. D. (2018). A self-resetting spiking phase-change neuron. Nanotechnology 29:195202. doi: 10.1088/1361-6528/aab177

PubMed Abstract | CrossRef Full Text | Google Scholar

Dang, B., Liu, K., Zhu, J., Xu, L., Zhang, T., Cheng, C., et al. (2019). Stochastic neuron based on IGZO Schottky diodes for neuromorphic computing. APL Mater. 7:071114. doi: 10.1063/1.5109090

CrossRef Full Text | Google Scholar

Danneville, F., Loyez, C., Carpentier, K., Sourikopoulos, I., Mercier, E., and Cappy, A. (2019). A Sub-35 pW Axon-Hillock artificial neuron circuit. Solid⋅State Electron. 153, 88–92. doi: 10.1016/j.sse.2019.01.002

CrossRef Full Text | Google Scholar

Deng, J. F., Miriyala, V. P. K., Zhu, Z. F., Fong, X. Y., and Liang, G. C. (2020). Voltage-controlled spintronic stochastic neuron for restricted Boltzmann machine with weight sparsity. IEEE Electron Device Lett. 41, 1102–1105. doi: 10.1109/Led.2020.2995874

CrossRef Full Text | Google Scholar

Dev, D., Krishnaprasad, A., Shawkat, M. S., He, Z., Das, S., Fan, D., et al. (2020). 2D MoS2-based threshold switching memristor for artificial neuron. IEEE Electron Device Lett. 41, 936–939. doi: 10.1109/led.2020.2988247

CrossRef Full Text | Google Scholar

Devolder, T., Hayakawa, J., Ito, K., Takahashi, H., Ikeda, S., Crozat, P., et al. (2008). Single-shot time-resolved measurements of nanosecond-scale spin-transfer induced switching: stochastic versus deterministic aspects. Phys. Rev. Lett. 100:057206. doi: 10.1103/PhysRevLett.100.057206

PubMed Abstract | CrossRef Full Text | Google Scholar

Dutta, S., Schafer, C., Gomez, J., Ni, K., Joshi, S., and Datta, S. (2020). Supervised learning in all FeFET-based spiking neural network: opportunities and challenges. Front. Neurosci. 14:634. doi: 10.3389/fnins.2020.00634

PubMed Abstract | CrossRef Full Text | Google Scholar

Faisal, A. A., Selen, L. P. J., and Wolpert, D. M. (2008). Noise in the nervous system. Nat. Rev. Neurosci. 9, 292–303. doi: 10.1038/nrn2258

PubMed Abstract | CrossRef Full Text | Google Scholar

Feldmann, J., Youngblood, N., Wright, C. D., Bhaskaran, H., and Pernice, W. H. P. (2019). All-optical spiking neurosynaptic networks with self-learning capabilities. Nature (London) 569, 208–214. doi: 10.1038/s41586-019-1157-8

PubMed Abstract | CrossRef Full Text | Google Scholar

Fong, X., Kim, Y., Venkatesan, R., Choday, S. H., Raghunathan, A., and Roy, K. (2016). Spin-transfer torque memories: devices, circuits, and systems. Proc. IEEE 104, 1449–1488. doi: 10.1109/JPROC.2016.2521712

CrossRef Full Text | Google Scholar

Frank, D. J., Dennard, R. H., Nowak, E., Solomon, P. M., Taur, Y., and Hon-Sum Philip, W. (2001). Device scaling limits of Si MOSFETs and their application dependencies. Proc. IEEE 89, 259–288. doi: 10.1109/5.915374

CrossRef Full Text | Google Scholar

Gao, L., Chen, P.-Y., and Yu, S. (2017). NbOx based oscillation neuron for neuromorphic computing. Appl. Phys. Lett. 111:103503. doi: 10.1063/1.4991917

CrossRef Full Text | Google Scholar

Grollier, J., Querlioz, D., and Stiles, M. D. (2016). Spintronic nanodevices for bioinspired computing. Proc. IEEE 104, 2024–2039. doi: 10.1109/JPROC.2016.2597152

PubMed Abstract | CrossRef Full Text | Google Scholar

Ha, S. D., Aydogdu, G. H., and Ramanathan, S. (2011). Metal-insulator transition and electrically driven memristive characteristics of SmNiO3 thin films. Appl. Phys. Lett. 98:012105. doi: 10.1063/1.3536486

CrossRef Full Text | Google Scholar

Hamilton, T. J., Afshar, S., van Schaik, A., and Tapson, J. (2014). Stochastic electronics: a neuro-inspired design paradigm for integrated circuits. Proc. IEEE 102, 843–859. doi: 10.1109/jproc.2014.2310713

CrossRef Full Text | Google Scholar

Hao, S., Ji, X., Zhong, S., Pang, K. Y., Lim, K. G., Chong, T. C., et al. (2020). A monolayer leaky integrate−and−fire neuron for 2D memristive neuromorphic networks. Adv. Electron. Mater. 6:1901335. doi: 10.1002/aelm.201901335

CrossRef Full Text | Google Scholar

Hassan, N., Hu, X., Jiang-Wei, L., Brigner, W. H., Akinola, O. G., Garcia-Sanchez, F., et al. (2018). Magnetic domain wall neuron with lateral inhibition. J. Appl. Phys. 124:152127. doi: 10.1063/1.5042452

CrossRef Full Text | Google Scholar

Ikeda, S., Hayakawa, J., Ashizawa, Y., Lee, Y. M., Miura, K., Hasegawa, H., et al. (2008). Tunnel magnetoresistance of 604% at 300K by suppression of Ta diffusion in CoFeB/MgO/CoFeB pseudo-spin-valves annealed at high temperature. Appl. Phys. Lett. 93:082508. doi: 10.1063/1.2976435

CrossRef Full Text | Google Scholar

Indiveri, G., Linares-Barranco, B., Hamilton, T., van Schaik, A., Etienne-Cummings, R., Delbruck, T., et al. (2011). Neuromorphic silicon neuron circuits. Front. Neurosci. 5:73. doi: 10.3389/fnins.2011.00073

PubMed Abstract | CrossRef Full Text | Google Scholar

Izhikevich, E. M. (2004). Which model to use for cortical spiking neurons? IEEE Trans. Neural Netw. 15, 1063–1070. doi: 10.1109/TNN.2004.832719

PubMed Abstract | CrossRef Full Text | Google Scholar

Joe, H., and Kim, Y. (2019). Novel stochastic computing for energy-efficient image processors. Electronics 8:720. doi: 10.3390/electronics8060720

CrossRef Full Text | Google Scholar

Jordan, J., Petrovici, M. A., Breitwieser, O., Schemmel, J., Meier, K., Diesmann, M., et al. (2019). Deterministic networks for probabilistic computing. Sci. Rep. 9:18303. doi: 10.1038/s41598-019-54137-7

PubMed Abstract | CrossRef Full Text | Google Scholar

Kalita, H., Krishnaprasad, A., Choudhary, N., Das, S., Dev, D., Ding, Y., et al. (2019). Artificial Neuron using vertical MoS2/graphene threshold switching memristors. Sci. Rep. 9:53. doi: 10.1038/s41598-018-35828-z

PubMed Abstract | CrossRef Full Text | Google Scholar

Kim, D. W., Yi, W. S., Choi, J. Y., Ashiba, K., Baek, J. U., Jun, H. S., et al. (2020). Double MgO-based perpendicular magnetic tunnel junction for artificial neuron. Front. Neurosci. 14:309. doi: 10.3389/fnins.2020.00309

PubMed Abstract | CrossRef Full Text | Google Scholar

Kim, T., Kim, S. H., Park, J. H., Park, J., Park, E., Kim, S. G., et al. (2020). An artificial neuron using a bipolar electrochemical metallization switch and its enhanced spiking properties through filament confinement. Adv. Electron. Mater. 7:2000410. doi: 10.1002/aelm.202000410

CrossRef Full Text | Google Scholar

Kondo, K., Choi, J. Y., Baek, J. U., Jun, H. S., Jung, S., Shim, T. H., et al. (2018). A two-terminal perpendicular spin-transfer torque based artificial neuron. J. Phys. D Appl. Phys. 51:504002. doi: 10.1088/1361-6463/aad592

CrossRef Full Text | Google Scholar

Krammer, A., Magrez, A., Vitale, W. A., Mocny, P., Jeanneret, P., Guibert, E., et al. (2017). Elevated transition temperature in Ge doped VO2 thin films. J. Appl. Phys. 122:045304. doi: 10.1063/1.4995965

CrossRef Full Text | Google Scholar

Kumar, M., Ban, D.-K., Kim, S. M., Kim, J., and Wong, C.-P. (2019). Vertically aligned WS2 layers for high-performing memristors and artificial synapses. Adv. Electron. Mater. 5:1900467. doi: 10.1002/aelm.201900467

CrossRef Full Text | Google Scholar

Kumar, S., Strachan, J. P., and Williams, R. S. (2017a). Chaotic dynamics in nanoscale NbO2 Mott memristors for analogue computing. Nature (London) 548, 318–321. doi: 10.1038/nature23307

PubMed Abstract | CrossRef Full Text | Google Scholar

Kumar, S., Wang, Z., Davila, N., Kumari, N., Norris, K. J., Huang, X., et al. (2017b). Physical origins of current and temperature controlled negative differential resistances in NbO2. Nat. Commun. 8:658. doi: 10.1038/s41467-017-00773-4

PubMed Abstract | CrossRef Full Text | Google Scholar

Kurenkov, A., DuttaGupta, S., Zhang, C., Fukami, S., Horio, Y., and Ohno, H. (2019). Artificial neuron and synapse realized in an antiferromagnet/ferromagnet heterostructure using dynamics of spin-orbit torque switching. Adv. Mater. 31:e1900636. doi: 10.1002/adma.201900636

PubMed Abstract | CrossRef Full Text | Google Scholar

Lee, D., Kwak, M., Moon, K., Choi, W., Park, J., Yoo, J., et al. (2019). Various threshold switching devices for integrate and fire neuron applications. Adv. Electron. Mater. 5:1800866. doi: 10.1002/aelm.201800866

CrossRef Full Text | Google Scholar

Lee, D., Park, J., Park, J., Woo, J., Cha, E., Lee, S., et al. (2015). Structurally engineered stackable and scalable 3D titanium-oxide switching devices for high-density nanoscale memory. Adv. Mater. 27, 59–64. doi: 10.1002/adma.201403675

PubMed Abstract | CrossRef Full Text | Google Scholar

Lee, J. S., Lee, S., and Noh, T. W. (2015). Resistive switching phenomena: a review of statistical physics approaches. Appl. Phys. Rev. 2:031303. doi: 10.1063/1.4929512

CrossRef Full Text | Google Scholar

Lequeux, S., Sampaio, J., Cros, V., Yakushiji, K., Fukushima, A., Matsumoto, R., et al. (2016). A magnetic synapse: multilevel spin-torque memristor with perpendicular anisotropy. Sci. Rep. 6:31510. doi: 10.1038/srep31510

PubMed Abstract | CrossRef Full Text | Google Scholar

Li, C., An, J., Kweon, J. Y., and Song, Y.-H. (2020). Two phase-change memory (2-PCM) neurons for implementing a backpropagation algorithm. Jpn. J. Appl. Phys. 59:SGGB07. doi: 10.35848/1347-4065/ab6a2b

CrossRef Full Text | Google Scholar

Li, D., Wu, B., Zhu, X., Wang, J., Ryu, B., Lu, W. D., et al. (2018). MoS2 memristors exhibiting variable switching characteristics toward biorealistic synaptic emulation. ACS Nano 12, 9240–9252. doi: 10.1021/acsnano.8b03977

PubMed Abstract | CrossRef Full Text | Google Scholar

Li, H. Y., Huang, X. D., Yuan, J. H., Lu, Y. F., Wan, T. Q., Li, Y., et al. (2020). Controlled memory and threshold switching behaviors in a heterogeneous memristor for neuromorphic computing. Adv. Electron. Mater. 6:2000309. doi: 10.1002/aelm.202000309

CrossRef Full Text | Google Scholar

Liang, X., Zhang, X. C., Xia, J., Ezawa, M., Zhao, Y. L., Zhao, G. P., et al. (2020). A spiking neuron constructed by the skyrmion-based spin torque nano-oscillator. Appl. Phys. Lett. 116:122402. doi: 10.1063/5.0001557

CrossRef Full Text | Google Scholar

Lim, H., Kornijcuk, V., Seok, J. Y., Kim, S. K., Kim, I., Hwang, C. S., et al. (2015). Reliability of neuronal information conveyed by unreliable neuristor-based leaky integrate-and-fire neurons: a model study. Sci. Rep. 5:9776. doi: 10.1038/srep09776

PubMed Abstract | CrossRef Full Text | Google Scholar

Lu, Y.-F., Li, Y., Li, H., Wan, T.-Q., Huang, X., He, Y.-H., et al. (2020). Low-power artificial neurons based on Ag/TiN/HfAlOx/Pt threshold switching memristor for neuromorphic computing. IEEE Electron Device Lett. 41, 1245–1248. doi: 10.1109/led.2020.3006581

CrossRef Full Text | Google Scholar

Luo, J., Yu, L., Liu, T., Yang, M., Fu, Z., Liang, Z., et al. (2019). “Capacitor-less stochastic leaky-FeFET neuron of both excitatory and inhibitory connections for SNN with reduced hardware cost,” in Proceedings of the 2019 IEEE International Electron Devices Meeting (IEDM), (San Francisco, CA: IEEE), 6.4.1–6.4.4.

Google Scholar

Lyeo, H.-K., Cahill, D. G., Lee, B.-S., Abelson, J. R., Kwon, M.-H., Kim, K.-B., et al. (2006). Thermal conductivity of phase-change material Ge2Sb2Te5. Appl. Phys. Lett. 89:151904. doi: 10.1063/1.2359354

CrossRef Full Text | Google Scholar

Mehonic, A., and Kenyon, A. J. (2016). Emulating the electrical activity of the neuron using a silicon oxide RRAM Cell. Front. Neurosci. 10:57. doi: 10.3389/fnins.2016.00057

PubMed Abstract | CrossRef Full Text | Google Scholar

Merolla, P. A., Arthur, J. V., Alvarez-Icaza, R., Cassidy, A. S., Sawada, J., Akopyan, F., et al. (2014). A million spiking-neuron integrated circuit with a scalable communication network and interface. Science 345, 668–673. doi: 10.1126/science.1254642

PubMed Abstract | CrossRef Full Text | Google Scholar

Mihai Miron, I., Gaudin, G., Auffret, S., Rodmacq, B., Schuhl, A., Pizzini, S., et al. (2010). Current-driven spin torque induced by the Rashba effect in a ferromagnetic metal layer. Nat. Mater. 9, 230–234. doi: 10.1038/nmat2613

PubMed Abstract | CrossRef Full Text | Google Scholar

Mulaosmanovic, H., Chicca, E., Bertele, M., Mikolajick, T., and Slesazeck, S. (2018a). Mimicking biological neurons with a nanoscale ferroelectric transistor. Nanoscale 10, 21755–21763. doi: 10.1039/c8nr07135g

PubMed Abstract | CrossRef Full Text | Google Scholar

Mulaosmanovic, H., Mikolajick, T., and Slesazeck, S. (2018b). Accumulative polarization reversal in nanoscale ferroelectric transistors. ACS Appl. Mater. Interfaces 10, 23997–24002. doi: 10.1021/acsami.8b08967

PubMed Abstract | CrossRef Full Text | Google Scholar

Mulaosmanovic, H., Mikolajick, T., and Slesazeck, S. (2018c). Random number generation based on ferroelectric switching. IEEE Electron Device Lett. 39, 135–138. doi: 10.1109/LED.2017.2771818

CrossRef Full Text | Google Scholar

Mulaosmanovic, H., Ocker, J., Müller, S., Schroeder, U., Müller, J., Polakowski, P., et al. (2017). Switching kinetics in nanoscale hafnium oxide based ferroelectric field-effect transistors. ACS Appl. Mater. Interfaces 9, 3792–3798. doi: 10.1021/acsami.6b13866

PubMed Abstract | CrossRef Full Text | Google Scholar

Ostwal, V., Debashis, P., Faria, R., Chen, Z., and Appenzeller, J. (2018). Spin-torque devices with hard axis initialization as stochastic binary neurons. Sci. Rep. 8:16689. doi: 10.1038/s41598-018-34996-2

PubMed Abstract | CrossRef Full Text | Google Scholar

Páez Fajardo, G. J., Howard, S. A., Evlyukhin, E., Wahila, M. J., Mondal, W. R., Zuba, M., et al. (2021). Structural phase transitions of NbO2: bulk versus surface. Chem. Mater. 33, 1416–1425. doi: 10.1021/acs.chemmater.0c04566

CrossRef Full Text | Google Scholar

Pan, F., Gao, S., Chen, C., Song, C., and Zeng, F. (2014). Recent progress in resistive random access memories: materials, switching mechanisms, and performance. Mater. Sci. Eng. R Rep. 83, 1–59. doi: 10.1016/j.mser.2014.06.002

CrossRef Full Text | Google Scholar

Parihar, A., Jerry, M., Datta, S., and Raychowdhury, A. (2018). Stochastic IMT (Insulator-Metal-Transition) neurons: an interplay of thermal and threshold noise at bifurcation. Front. Neurosci. 12:00210. doi: 10.3389/fnins.2018.00210

PubMed Abstract | CrossRef Full Text | Google Scholar

Park, Y.-S., Woo, S., Lim, D., Cho, K., and Kim, S. (2021). Integrate-and-fire neuron circuit without external bias voltages. Front. Neurosci. 15:644604. doi: 10.3389/fnins.2021.644604

PubMed Abstract | CrossRef Full Text | Google Scholar

Pickett, M. D., Medeiros-Ribeiro, G., and Williams, R. S. (2013). A scalable neuristor built with Mott memristors. Nat. Mater. 12, 114–117. doi: 10.1038/nmat3510

PubMed Abstract | CrossRef Full Text | Google Scholar

Pickett, M. D., and Stanley Williams, R. (2013). Phase transitions enable computational universality in neuristor-based cellular automata. Nanotechnology 24:384002. doi: 10.1088/0957-4484/24/38/384002

CrossRef Full Text | Google Scholar

Pocha, R., Johrendt, D., Ni, B., and Abd-Elmeguid, M. M. (2005). Crystal structures, electronic properties, and pressure-induced superconductivity of the tetrahedral cluster compounds GaNb4S8, GaNb4Se8, and GaTa4Se8. J. Am. Chem. Soc. 127, 8732–8740. doi: 10.1021/ja050243x

PubMed Abstract | CrossRef Full Text | Google Scholar

Scott, J. F., and Paz de Araujo, C. A. (1989). Ferroelectric memories. Science 246, 1400–1405. doi: 10.1126/science.246.4936.1400

PubMed Abstract | CrossRef Full Text | Google Scholar

Sebastian, A., Le Gallo, M., and Krebs, D. (2014). Crystal growth within a phase change memory cell. Nat. Commun. 5:4314. doi: 10.1038/ncomms5314

PubMed Abstract | CrossRef Full Text | Google Scholar

Sengupta, A., Choday, S. H., Kim, Y., and Roy, K. (2015). Spin orbit torque based electronic neuron. Appl. Phys. Lett. 106:143701. doi: 10.1063/1.4917011

CrossRef Full Text | Google Scholar

Sengupta, A., Panda, P., Wijesinghe, P., Kim, Y., and Roy, K. (2016). Magnetic tunnel junction mimics stochastic cortical spiking neurons. Sci. Rep. 6:30039. doi: 10.1038/srep30039

PubMed Abstract | CrossRef Full Text | Google Scholar

Sengupta, A., Srinivasan, G., Roy, D., and Roy, K. (2018). “Stochastic inference and learning enabled by magnetic tunnel junctions,” in Proceedings of the 2018 IEEE International Electron Devices Meeting (IEDM), (San Francisco, CA: IEEE), 15.16.11–15.16.14.

Google Scholar

Shadlen, M. N., and Newsome, W. T. (1998). The variable discharge of cortical neurons: implications for connectivity, computation, and information coding. J. Neurosci. 18, 3870–3896. doi: 10.1523/jneurosci.18-10-03870.1998

PubMed Abstract | CrossRef Full Text | Google Scholar

Sharad, M., Augustine, C., Panagopoulos, G., and Roy, K. (2012). Spin-based neuron model with domain-wall magnets as synapse. IEEE Trans. Nanotechnol. 11, 843–853. doi: 10.1109/Tnano.2012.2202125

CrossRef Full Text | Google Scholar

Sharad, M., Fan, D. L., and Roy, K. (2013). Spin-neurons: a possible path to energy-efficient neuromorphic computers. J. Appl. Phys. 114:234906. doi: 10.1063/1.4838096

CrossRef Full Text | Google Scholar

Shi, L., Shang, D. S., Chen, Y. S., Wang, J., Sun, J. R., and Shen, B. G. (2011). Improved resistance switching in ZnO-based devices decorated with Ag nanoparticles. J. Phys. D Appl. Phys. 44:455305. doi: 10.1088/0022-3727/44/45/455305

CrossRef Full Text | Google Scholar

Shim, Y., Jaiswal, A., and Roy, K. (2017). Ising computation based combinatorial optimization using spin-Hall effect (SHE) induced stochastic magnetization reversal. J. Appl. Phys. 121:193902. doi: 10.1063/1.4983636

CrossRef Full Text | Google Scholar

Shin, Y.-H., Grinberg, I., Chen, I. W., and Rappe, A. M. (2007). Nucleation and growth mechanism of ferroelectric domain-wall motion. Nature (London) 449, 881–884. doi: 10.1038/nature06165

PubMed Abstract | CrossRef Full Text | Google Scholar

Slonczewski, J. C. (1996). Current-driven excitation of magnetic multilayers. J. Magn. Magn. Mater. 159, L1–L7. doi: 10.1016/0304-8853(96)00062-5

CrossRef Full Text | Google Scholar

Sountsov, P., and Miller, P. (2015). Spiking neuron network Helmholtz machine. Front. Comput. Neurosci. 9:46. doi: 10.3389/fncom.2015.00046

PubMed Abstract | CrossRef Full Text | Google Scholar

Stegmaier, M., Ríos, C., Bhaskaran, H., Wright, C. D., and Pernice, W. H. P. (2017). Nonvolatile all-optical 1 × 2 switch for chipscale photonic networks. Adv. Opt. Mater. 5:1600346. doi: 10.1002/adom.201600346

CrossRef Full Text | Google Scholar

Stoliar, P., Tranchant, J., Corraze, B., Janod, E., Besland, M.-P., Tesler, F., et al. (2017). A leaky-integrate-and-fire neuron analog realized with a mott insulator. Adv. Funct. Mater. 27:1604740. doi: 10.1002/adfm.201604740

CrossRef Full Text | Google Scholar

Strukov, D. B., Snider, G. S., Stewart, D. R., and Williams, R. S. (2008). The missing memristor found. Nature (London) 453, 80–83. doi: 10.1038/nature06932

PubMed Abstract | CrossRef Full Text | Google Scholar

Sun, X., Ma, S., Li, Y., Wang, D., Li, Z., Wang, N., et al. (2020). Enhanced echo-state restricted Boltzmann machines for network traffic prediction. IEEE Internet Things J. 7, 1287–1297. doi: 10.1109/JIOT.2019.2954283

CrossRef Full Text | Google Scholar

Sun, Y., Song, C., Yin, S., Qiao, L., Wan, Q., Wang, R., et al. (2020). Design of a controllable redox−diffusive threshold switching memristor. Adv. Electron. Mater. 6:2000695. doi: 10.1002/aelm.202000695

CrossRef Full Text | Google Scholar

Thalmeier, D., Uhlmann, M., Kappen, H. J., and Memmesheimer, R. M. (2016). Learning universal computations with spikes. PLoS Comput. Biol. 12:e1004895. doi: 10.1371/journal.pcbi.1004895

PubMed Abstract | CrossRef Full Text | Google Scholar

Tuma, T., Pantazi, A., Le Gallo, M., Sebastian, A., and Eleftheriou, E. (2016). Stochastic phase-change neurons. Nat. Nanotechnol. 11, 693–700. doi: 10.1038/nnano.2016.70

PubMed Abstract | CrossRef Full Text | Google Scholar

Valasek, J. (1921). Piezo-electric and allied phenomena in Rochelle salt. Phys. Rev. 17, 475–481. doi: 10.1103/PhysRev.17.475

CrossRef Full Text | Google Scholar

Wang, J. J., Hu, S. G., Zhan, X. T., Yu, Q., Liu, Z., Chen, T. P., et al. (2018). Handwritten-digit recognition by hybrid convolutional neural network based on HfO2 memristive spiking-neuron. Sci. Rep. 8:12546. doi: 10.1038/s41598-018-30768-0

PubMed Abstract | CrossRef Full Text | Google Scholar

Wang, J. R., and Zhuge, F. (2019). Memristive synapses for brain-inspired computing. Adv. Mater. Technol. 4:1800544. doi: 10.1002/admt.201800544

CrossRef Full Text | Google Scholar

Wang, K., Hu, Q., Gao, B., Lin, Q., Zhuge, F.-W., Zhang, D.-Y., et al. (2021). Threshold switching memristor-based stochastic neurons for probabilistic computing. Mater. Horizons 8, 619–629. doi: 10.1039/d0mh01759k

CrossRef Full Text | Google Scholar

Wang, L., Wang, Z., Zhao, W., Hu, B., Xie, L., Yi, M., et al. (2017). Controllable multiple depression in a graphene oxide artificial synapse. Adv. Electron. Mater. 3:1600244. doi: 10.1002/aelm.201600244

CrossRef Full Text | Google Scholar

Wang, Z., Rao, M., Han, J. W., Zhang, J., Lin, P., Li, Y., et al. (2018). Capacitive neural network with neuro-transistors. Nat. Commun. 9:3208. doi: 10.1038/s41467-018-05677-5

PubMed Abstract | CrossRef Full Text | Google Scholar

Waser, R., and Aono, M. (2007). Nanoionics-based resistive switching memories. Nat. Mater. 6, 833–840. doi: 10.1038/nmat2023

PubMed Abstract | CrossRef Full Text | Google Scholar

Wong, H. P., Lee, H., Yu, S., Chen, Y., Wu, Y., Chen, P., et al. (2012). Metal–Oxide RRAM. Proc. IEEE 100, 1951–1970. doi: 10.1109/JPROC.2012.2190369

CrossRef Full Text | Google Scholar

Woo, J., Lee, D., Koo, Y., and Hwang, H. (2017). Dual functionality of threshold and multilevel resistive switching characteristics in nanoscale HfO2-based RRAM devices for artificial neuron and synapse elements. Microelectron. Eng. 182, 42–45. doi: 10.1016/j.mee.2017.09.001

CrossRef Full Text | Google Scholar

Woo, J., Wang, P., and Yu, S. (2019). Integrated crossbar array with resistive synapses and oscillation neurons. IEEE Electron Device Lett. 40, 1313–1316. doi: 10.1109/led.2019.2921656

CrossRef Full Text | Google Scholar

Wright, C. D., Hosseini, P., and Diosdado, J. A. V. (2012). Beyond von−Neumann computing with nanoscale phase−change memory devices. Adv. Funct. Mater. 23, 2248–2254. doi: 10.1002/adfm.201202383

CrossRef Full Text | Google Scholar

Yang, J. J., Strukov, D. B., and Stewart, D. R. (2013). Memristive devices for computing. Nat. Nanotechnol. 8, 13–24. doi: 10.1038/nnano.2012.240

PubMed Abstract | CrossRef Full Text | Google Scholar

Yi, W., Tsang, K. K., Lam, S. K., Bai, X., Crowell, J. A., and Flores, E. A. (2018). Biological plausibility and stochasticity in scalable VO2 active memristor neurons. Nat. Commun. 9:4661. doi: 10.1038/s41467-018-07052-w

PubMed Abstract | CrossRef Full Text | Google Scholar

Zhang, X., Zhuo, Y., Luo, Q., Wu, Z., Midya, R., Wang, Z., et al. (2020). An artificial spiking afferent nerve based on Mott memristors for neurorobotics. Nat. Commun. 11:51. doi: 10.1038/s41467-019-13827-6

PubMed Abstract | CrossRef Full Text | Google Scholar

Zhang, Y., He, W., Wu, Y., Huang, K., Shen, Y., Su, J., et al. (2018). Highly compact artificial memristive neuron with low energy consumption. Small 14:e1802188. doi: 10.1002/smll.201802188

PubMed Abstract | CrossRef Full Text | Google Scholar

Zhao, B., and Ravichandran, J. (2019). Low-power microwave relaxation oscillators based on phase-change oxides for neuromorphic computing. Phys. Rev. A 11:014020. doi: 10.1103/PhysRevApplied.11.014020

CrossRef Full Text | Google Scholar

Zhu, J. D., Zhang, T., Yang, Y. C., and Huang, R. (2020). A comprehensive review on emerging artificial neuromorphic devices. Appl. Phys. Rev. 7:011312. doi: 10.1063/1.5118217

CrossRef Full Text | Google Scholar

Zou, X., Wang, G., and Yu, G. (2017). Protein function prediction using deep restricted Boltzmann machines. BioMed. Res. Int. 2017:1729301. doi: 10.1155/2017/1729301

PubMed Abstract | CrossRef Full Text | Google Scholar

Keywords: brain-inspired computing, artificial neurons, stochastic neurons, memristive devices, stochastic electronics

Citation: Li Z-x, Geng X-y, Wang J and Zhuge F (2021) Emerging Artificial Neuron Devices for Probabilistic Computing. Front. Neurosci. 15:717947. doi: 10.3389/fnins.2021.717947

Received: 31 May 2021; Accepted: 19 July 2021;
Published: 06 August 2021.

Edited by:

Peng Lin, Zhejiang University, China

Reviewed by:

Rivu Midya, University of Massachusetts Amherst, United States
Jiyong Woo, Kyungpook National University, South Korea

Copyright © 2021 Li, Geng, Wang and Zhuge. This is an open-access article distributed under the terms of the Creative Commons Attribution License (CC BY). The use, distribution or reproduction in other forums is permitted, provided the original author(s) and the copyright owner(s) are credited and that the original publication in this journal is cited, in accordance with accepted academic practice. No use, distribution or reproduction is permitted which does not comply with these terms.

*Correspondence: Fei Zhuge, zhugefei@nimte.ac.cn

Disclaimer: All claims expressed in this article are solely those of the authors and do not necessarily represent those of their affiliated organizations, or those of the publisher, the editors and the reviewers. Any product that may be evaluated in this article or claim that may be made by its manufacturer is not guaranteed or endorsed by the publisher.