skip to main content
10.1145/3132402.3132444acmotherconferencesArticle/Chapter ViewAbstractPublication PagesmemsysConference Proceedingsconference-collections
research-article

Integrating DRAM power-down modes in gem5 and quantifying their impact

Published:02 October 2017Publication History

ABSTRACT

Across applications, DRAM is a significant contributor to the overall system power, with the DRAM access energy per bit up to three orders of magnitude higher compared to on-chip memory accesses. To improve the power efficiency, DRAM technology incorporates multiplepower-down modes, each with different trade-offs between achievable power savings and performance impact due to entry and exit delay requirements. Accurate modeling of these low power modes and entry and exit control is crucial to analyze the trade-offs across controller configurations and workloads with varied memory access characteristics. To address this, we integrate the power-down modes into the DRAM controller model in the open-source simulator gem5. This is the first publicly available full-system simulator with DRAM power-down modes, providing the research community a tool for DRAM power analysis for a breadth of use cases. We validate the power-down functionality with sweep tests, which trigger defined memory access characteristics. We further evaluate the model with real HPC workloads, illustrating the value of integrating low power functionality into a full system simulator.

References

  1. Sara Alspaugh, Arka Bhattacharya, David Culler, and Randy Katz. 2011. The Tao of Systems: Doing Nothing Well.Google ScholarGoogle Scholar
  2. Raid Zuhair Ayoub, Krishnam Raju Indukuri, and Tajana Simunic Rosing. 2010. Energy Efficient Proactive Thermal Management in Memory Subsystem. In Proceedings of the 16th ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED '10). ACM, New York, NY, USA, 195--200. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Nathan Binkert, Bradford Beckmann, Gabriel Black, Steven K. Reinhardt, Ali Saidi, Arkaprava Basu, Joel Hestness, Derek R. Hower, Tushar Krishna, Somayeh Sardashti, Rathijit Sen, Korey Sewell, Muhammad Shoaib, Nilay Vaish, Mark D. Hill, and David A. Wood. 2011. The gem5 simulator. SIGARCH Comput. Archit. News 39, 2 (Aug. 2011), 1--7. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Aaron Carroll and Gernot Heiser. 2013. The Systems Hacker's Guide to the Galaxy Energy Usage in a Modern Smartphone. In Proceedings of the 4th Asia-Pacific Workshop on Systems (APSys '13). ACM, New York, NY, USA, Article 5, 7 pages. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Karthik Chandrasekar, Benny Akesson, and Kees Goossens. 2012. Run-time Power-down Strategies for Real-time SDRAM Memory Controllers. In Proceedings of the 49th Annual Design Automation Conference (DAC '12). ACM, New York, NY, USA, 988--993. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Karthik Chandrasekar, Christian Weis, Yonghui Li, Benny Akesson, Omar Naji, Matthias Jung, Norbert Wehn, and Kees Goossens. {n. d.}. DRAMPower: Open-source DRAM power & energy estimation tool. http://www.drampower.info. ({n. d.}).Google ScholarGoogle Scholar
  7. Niladrish Chatterjee, Rajeev Balasubramonian, Manjunath Shevgoor, Seth Pugsley, Aniruddha Udipi, Ali Shafiee, Manu Sudan, Kshitij amd Awasthi, and Zeshan Chishti. 2012. USIMM: the Utah SImulated Memory Module, A Simulation Infrastructure for the JWAC Memory Scheduling Championship. Utah and Intel Corp. (February 2012).Google ScholarGoogle Scholar
  8. Victor Delaluz, Mahmut Kandemir, N. Vijaykrishnan, Anand Sivasubramaniam, and Mary Jane Irwin. 2001. Hardware and Software Techniques for Controlling DRAM Power Modes. IEEE Trans. Comput. 50, 11 (Nov. 2001), 1154--1173. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Aditya M. Deshpande, Jeffrey T. Draper, J. Brian Rigdon, and Richard F. Barrett. 2015. PathFinder: A Signature-search Miniapp and Its Runtime Characteristics. In Proceedings of the 5th Workshop on Irregular Applications: Architectures and Algorithms (IA3 '15). ACM, New York, NY, USA, Article 9, 4 pages. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Jack Dongarra, Michael A. Heroux, and Piotr Luszczek. 2016. A new metric for ranking high-performance computing systems. National Science Review 3, 1 (2016), 30.Google ScholarGoogle ScholarCross RefCross Ref
  11. Hossein Falaki, Ratul Mahajan, Srikanth Kandula, Dimitrios Lymberopoulos, Ramesh Govindan, and Deborah Estrin. 2010. Diversity in Smartphone Usage. In Proceedings of the 8th International Conference on Mobile Systems, Applications, and Services (MobiSys '10). ACM, New York, NY, USA, 179--194. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Xiaobo Fan, Carla Ellis, and Alvin Lebeck. 2001. Memory Controller Policies for DRAM Power Management. In Proceedings of the 2001 International Symposium on Low Power Electronics and Design (ISLPED '01). ACM, New York, NY, USA, 129--134. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. N. Farahini, A. Hemani, A. Lansner, F. Clermidy, and C. Svensson. 2014. A scalable custom simulation machine for the Bayesian Confidence Propagation Neural Network model of the brain. In Design Automation Conference (ASP-DAC), 2014 19th Asia and South Pacific. 578--585.Google ScholarGoogle Scholar
  14. A. Hansson, N. Agarwal, A. Kolli, T. Wenisch, and A.N. Udipi. 2014. Simulating DRAM controllers for future system architecture exploration. In Performance Analysis of Systems and Software (ISPASS), 2014 IEEE International Symposium on. 201--210.Google ScholarGoogle Scholar
  15. Hai Huang, Kang G. Shin, Charles Lefurgy, Tom Keller, Krishna T. Malladi, Ian Shaeffer, Liji Gopalakrishnan, David Lo, Benjamin C. Lee, and Mark Horowitz. 2005. Improving Energy Efficiency by Making DRAM Less Randomly Accessed. In Proceedings of the 2005 International Symposium on Low Power Electronics and Design (ISLPED '05). ACM, New York, NY, USA, 393--398. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. I. Hur and C. Lin. 2008. A comprehensive approach to DRAM power management. In 2008 IEEE 14th International Symposium on High Performance Computer Architecture. 305--316.Google ScholarGoogle Scholar
  17. Bruce Jacob. 2009. The Memory System: You Can'T Avoid It, You Can'T Ignore It, You Can'T Fake It. Morgan and Claypool Publishers. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. B. Jacob, S. Ng, and D. Wang. 2010. Memory Systems: Cache, DRAM, Disk. Elsevier Science. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Radhika Jagtap, Stephan Diestelhorst, Andreas Hansson, Matthias Jung, and Norbert Wehn. 2016. Exploring System Performance using Elastic Traces: Fast, Accurate and Portable. In IEEE International Conference on Embedded Computer Systems Architectures Modeling and Simulation (SAMOS), July, 2016, Samos Island, Greece.Google ScholarGoogle Scholar
  20. Min Kyu Jeong, Doe Hyun Yoon, and Mattan Erez. {n. d.}. DrSim: A Platform for Flexible DRAM System Research. http://lph.ece.utexas.edu/public/DrSim. ({n. d.}).Google ScholarGoogle Scholar
  21. Matthias Jung. 2017. System-Level Modeling, Analysis and Optimization of DRAM Memories and Controller Architectures. Ph.D. Dissertation. University of Kaiserslautern.Google ScholarGoogle Scholar
  22. Matthias Jung, Irene Heinrich, Marco Natale, Deepak M. Mathew, Christian Weis, Sven Krumke, and Norbert Wehn. 2016. ConGen: An Application Specific DRAM Memory Controller Generator. In International Symposium on Memory Systems (MEMSYS 2016). Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Matthias Jung, Kira Kraft, and Norbert Wehn. 2017. A New State Model for DRAMs Using Petri Nets. In IEEE International Conference on Embedded Computer Systems Architectures Modeling and Simulation (SAMOS).Google ScholarGoogle ScholarCross RefCross Ref
  24. Matthias Jung, Christian Weis, and Norbert Wehn. 2015. DRAMSys: A flexible DRAM Subsystem Design Space Exploration Framework. IPSJ Transactions on System LSI Design Methodology (T-SLDM) (August 2015).Google ScholarGoogle Scholar
  25. Matthias Jung, Christian Weis, Norbert Wehn, and Karthik Chandrasekar. 2013. TLM modelling of 3D stacked wide I/O DRAM subsystems: a virtual platform for memory controller design space exploration. In Proceedings of the 2013 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools (RAPIDO '13). ACM, New York, NY, USA, Article 5, 6 pages. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. M. Jung, C. Weis, N. Wehn, M. Sadri, and L. Benini. 2014. Optimized active and power-down mode refresh control in 3D-DRAMs. In Very Large Scale Integration (VLSI-SoC), 2014 22nd International Conference on. 1--6.Google ScholarGoogle Scholar
  27. Gokcen Kestor, Roberto Gioiosa, and Adolfy Kerbyson, Darren J. and Hoisie. 2013. Quantifying the energy cost of data movement in scientific applications. In Proceedings of the 2013 IEEE International Symposium on Workload Characterization (IISCW 2013). IEEE.Google ScholarGoogle ScholarCross RefCross Ref
  28. Y. Kim, W. Yang, and O. Mutlu. 2015. Ramulator: A Fast and Extensible DRAM Simulator. IEEE Computer Architecture Letters PP, 99 (2015), 1--1. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. J. Krueger, D. Donofrio, J. Shalf, M. Mohiyuddin, S. Williams, L. Oliker, and F.-J. Pfreundt. 2011. Hardware/software co-design for energy-efficient seismic modeling. In High Performance Computing, Networking, Storage and Analysis (SC), 2011 International Conference for. 1--12. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. Alvin R. Lebeck, Xiaobo Fan, Heng Zeng, and Carla Ellis. 2000. Power Aware Page Allocation. In Proceedings of the Ninth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS IX). ACM, New York, NY, USA, 105--116. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. Piotr R Luszczek, David H Bailey, Jack J Dongarra, Jeremy Kepner, Robert F Lucas, Rolf Rabenseifner, and Daisuke Takahashi. 2006. The HPC Challenge (HPCC) Benchmark Suite. In Proceedings of the 2006 ACM/IEEE Conference on Supercomputing (SC '06). ACM, New York, NY, USA, Article 213. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. Krishna T. Malladi, Ian Shaeffer, Liji Gopalakrishnan, David Lo, Benjamin C. Lee, and Mark Horowitz. 2012. Rethinking DRAM Power Modes for Energy Proportionality. In MICRO. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. Jedec Solid State Technology Association. 2012. DDR3 SDRAM (JESD 79--3). (2012).Google ScholarGoogle Scholar
  34. JEDEC Solid State Technology Association. 2015. High Bandwidth Memory (HBM) DRAM. JEDEC Standard JESD235A (2015).Google ScholarGoogle Scholar
  35. Micron Technology, Inc. 2016. DDR4 SDRAM - MT40A2G4. (2016). https://www.micron.com/products/dram/ddr4-sdram/8Gb#/Google ScholarGoogle Scholar
  36. David Meisner, Brian T. Gold, and Thomas F. Wenisch. 2009. PowerNap: Eliminating Server Idle Power. In Proceedings of the 14th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS XIV). ACM, New York, NY, USA, 205--216. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. Micron. 2011. DDR3 SDRAM System Power Calculator,. last access 2014-07-03. (jul 2011). http://www.micron.com/products/support/power-calcGoogle ScholarGoogle Scholar
  38. A. Petitet, R. C. Whaley, J. Dongarra, and A. Cleary. 2016. HPL - A Portable Implementation of the High-Performance Linpack Benchmark for Distributed-Memory Computers. (2016). http://www.netlib.org/benchmark/hpl/Google ScholarGoogle Scholar
  39. Scott Rixner, William J. Dally, Ujval J. Kapasi, Peter Mattson, and John D. Owens. 2000. Memory Access Scheduling. In Proceedings of the 27th Annual International Symposium on Computer Architecture (ISCA '00). ACM, New York, NY, USA, 128--138. Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. P. Rosenfeld, E. Cooper-Balis, and B. Jacob. 2011. DRAMSim2: A Cycle Accurate Memory System Simulator. Computer Architecture Letters 10, 1 (Jan 2011), 16--19. Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. Daniel Schmidt and Norbert Wehn. 2009. DRAM Power Management and Energy Consumption: a Critical Assessment. In Proceedings of the 22nd Annual Symposium on Integrated Circuits and System Design. Natal, Brazil. Google ScholarGoogle ScholarDigital LibraryDigital Library
  42. A. Sridhar, A. Vincenzi, M. Ruggiero, Thomas Brunschwiler, and D. Atienza. 2010. 3D-ICE: Fast compact transient thermal modeling for 3D ICs with inter-tier liquid cooling. In Proc. of ICCAD 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  43. Daisuke Takahash. 2014. FFTE: A Fast Fourier Transform Package. (2014). http://www.ffte.jp/Google ScholarGoogle Scholar
  44. G. Thomas, K. Chandrasekar, B. Åkesson, B. Juurlink, and K. Goossens. 2012. A Predictor-Based Power-Saving Policy for DRAM Memories. In 2012 15th Euromicro Conference on Digital System Design. 882--889. Google ScholarGoogle ScholarDigital LibraryDigital Library
  45. Christian Weis, Matthias Jung, Peter Ehses, Cristiano Santos, Pascal Vivet, Sven Goossens, Martijn Koedam, and Norbert Wehn. 2015. Retention Time Measurements and Modelling of Bit Error Rates of WIDE I/O DRAM in MPSoCs. In Proceedings of the IEEE Conference on Design, Automation & Test in Europe (DATE). European Design and Automation Association. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Integrating DRAM power-down modes in gem5 and quantifying their impact

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Other conferences
          MEMSYS '17: Proceedings of the International Symposium on Memory Systems
          October 2017
          409 pages
          ISBN:9781450353359
          DOI:10.1145/3132402

          Copyright © 2017 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 2 October 2017

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader