skip to main content
10.1145/2872334.2872352acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
research-article

Concurrent Guiding Template Assignment and Redundant via Insertion for DSA-MP Hybrid Lithography

Authors Info & Claims
Published:03 April 2016Publication History

ABSTRACT

Directed Self-Assembly (DSA) is a very promising emerging lithography for 7nm and beyond, where a coarse guiding template produced by conventional optical lithography can "magically" generate fine-pitch vias/contacts through self-assembly process. A key challenge for DSA-friendly layout is the guiding template assignment to cover all vias under consideration. Meanwhile, redundant via insertion has been widely adopted to improve yield and reliability of the circuit. In this paper, we propose a comprehensive framework for concurrent DSA guiding template assignment and redundant via insertion with consideration of multiple patterning (MP) in guiding template generation. We first formulate the problem as an integer linear programming (ILP), and then propose a novel approximation algorithm to achieve good performance and runtime trade-off. The experimental results demonstrate the effectiveness of the proposed algorithms. To our best knowledge, this is the first work in concurrent guiding template assignment and redundant via insertion for DSA-MP hybrid lithography.

References

  1. Yuriko Seino, Hiroki Yonemitsu, Hironobu Sato, Masahiro Kanno, Hirokazu Kato, Katsutoshi Kobayashi, Ayako Kawanishi, Tsukasa Azuma, Makoto Muramatsu, Seiji Nagahara, Takahiro Kitano, and Takayuki Toshima. Contact hole shrink process using graphoepitaxial directed self-assembly lithography. JM3, 12(3), 2013.Google ScholarGoogle Scholar
  2. Seong-Jun Jeong, Ju Young Kim, Bong Hoon Kim, Hyoung-Seok Moon, and Sang Ouk Kim. Directed self-assembly of block copolymer for next generation nanolithography. Materials Today, 16(12):468--476, 2013.Google ScholarGoogle ScholarCross RefCross Ref
  3. David Z Pan, Bei Yu, and J-R Gao. Design for manufacturing with emerging nanolithography. IEEE TCAD, 32(10):1453--1472, 2013.Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. H.-S. Philip Wong, Chris Bencher, He Yi, Xin-Yu Bao, and Li-Wen Chang. Block copolymer directed self-assembly enables sublithographic patterning for device fabrication. In Proc. SPIE, volume 8323, 2012.Google ScholarGoogle Scholar
  5. Yuansheng Ma, Junjiang Lei, Juan Andres Torres, Le Hong, James Word, Germain Fenger, Alexander Tritchkov, George Lippincott, Rachit Gupta, Neal Lafferty, Yuan He, Joost Bekaert, and Geert Vanderberghe. Directed self-assembly (dsa) grapho-epitaxy template generation with immersion lithography. In Proc. SPIE, volume 9423, 2015.Google ScholarGoogle Scholar
  6. Azat Latypov, Tamer H. Coskun, Grant Garner, Moshe Preil, Gerard Schmid, Ji Xu, and Yi Zou. Simulations of spatial DSA morphology, DSA-aware assist features and block copolymer-homopolymer blends. In Proc. SPIE, volume 9049, 2014.Google ScholarGoogle Scholar
  7. Sander Wuister, Tamara Druzhinina, Davide Ambesi, Bart Laenens, Linda He Yi, and Jo Finders. Influence of litho patterning on DSA placement errors. In Proc. SPIE, volume 9049, 2014.Google ScholarGoogle Scholar
  8. Yuansheng Ma, J. Andres Torres, Germain Fenger, Yuri Granik, Julien Ryckaert, Geert Vanderberghe, Joost Bekaert, and James Word. Challenges and opportunities in applying grapho-epitaxy DSA lithography to metal cut and contact/via applications. In Proc. SPIE, volume 9231, 2014.Google ScholarGoogle Scholar
  9. He Yi, Xin-Yu Bao, Jie Zhang, Richard Tiberio, James Conway, Li-Wen Chang, Subhasish Mitra, and H.-S. Philip Wong. Contact-hole patterning for random logic circuit using block copolymer directed self-assembly. In Proc. SPIE, volume 8323, 2012.Google ScholarGoogle ScholarCross RefCross Ref
  10. Yuelin Du, Zigang Xiao, Martin D.F. Wong, He Yi, and H.-S. Philip Wong. DSA-aware detailed routing for via layer optimization. In Proc. SPIE, volume 9049, 2014.Google ScholarGoogle Scholar
  11. Zigang Xiao, Yuelin Du, Haitong Tian, Martin D. F. Wong, He Yi, H-S Philip Wong, and Hongbo Zhang. Directed self-assembly (DSA) template pattern verification. In Proc. DAC, pages 55:1--55:6, 2014.Google ScholarGoogle Scholar
  12. Yuelin Du, Daifeng Guo, Martin D. F. Wong, He Yi, H.-S. Philip Wong, Hongbo Zhang, and Qiang Ma. Block copolymer directed self-assembly (DSA) aware contact layer optimization for 10 nm 1D standard cell library. In Proc. ICCAD, pages 186--193, 2013.Google ScholarGoogle ScholarCross RefCross Ref
  13. Zigang Xiao, Yuelin Du, Martin D.F. Wong, and Hongbo Zhang. DSA template mask determination and cut redistribution for advanced 1D gridded design. In Proc. SPIE, volume 8880, 2013.Google ScholarGoogle ScholarCross RefCross Ref
  14. Jiaojiao Ou, Bei Yu, Jhih-Rong Gao, and David Z. Pan. Directed self-assembly cut mask assignment for unidirectional design. JM3, 14(3), 2015.Google ScholarGoogle Scholar
  15. Yasmine Badr, Andres Torres, and Puneet Gupta. Mask assignment and synthesis of DSA-MP hybrid lithography for sub-7nm contacts/vias. In Proc. DAC, pages 70:1--70:6, 2015.Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Yasmine Badr, Juan Andres Torres, Yuansheng Ma, Joydeep Mitra, and Puneet Gupta. Incorporating DSA in multipatterning semiconductor manufacturing technologies. In Proc. SPIE, volume 9427, 2015.Google ScholarGoogle Scholar
  17. Kuang-Yao Lee and Ting-Chi Wang. Post-routing redundant via insertion for yield/reliability improvement. In Proc. ASPDAC, pages 303--308, 2006.Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Kuang-Yao Lee, Cheng-Kok Koh, Ting-Chi Wang, and Kai-Yuan Chao. Fast and optimal redundant via insertion. IEEE TCAD, 27(12):2197--2208, 2008.Google ScholarGoogle Scholar
  19. Gang Xu, Li-Da Huang, David Z Pan, and Martin DF Wong. Redundant-via enhanced maze routing for yield improvement. In Proc. ASPDAC, pages 1148--1151, 2005.Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Huang-Yu Chen, Mei-Fang Chiang, Yao-Wen Chang, Lumdo Chen, and Brian Han. Full-chip routing considering double-via insertion. IEEE TCAD, 27(5):844--857, 2008.Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Kuang-Yao Lee, Cheng-Kok Koh, Ting-Chi Wang, and Kai-Yuan Chao. Optimal post-routing redundant via= insertion. In Proc. ISPD, pages 111{117, 2008.Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Jiwoo Pak, Bei Yu, and David Z. Pan. Electromigration-aware redundant via insertion. In Proc. ASPDAC, pages 544{549, 2015.Google ScholarGoogle ScholarCross RefCross Ref
  23. Monaldo Mastrolili and Georgios Stamoulis. Constrained= matching problems in bipartite graphs. In Proc. ISCO, pages 344{355, 2014.Google ScholarGoogle Scholar
  24. Jan Plesnik. Constrained weighted matchings and edge coverings in graphs. Discrete Applied Mathematics, 92(2--3):229{241, Jun. 1999.Google ScholarGoogle Scholar
  25. CBC. http://www.coin-or.org/projects/Cbc.xml.Google ScholarGoogle Scholar
  26. OpenSPARC T1. http://www.oracle.com/technetwork/ systems/opensparc/index.html.Google ScholarGoogle Scholar
  27. Synopsys Design Compiler. http://www.synopsys.com.Google ScholarGoogle Scholar
  28. NanGate FreePDK45 Generic Open Cell Library. http://www.si2.org/openeda.si2.org/projects/nangatelib, 2008.Google ScholarGoogle Scholar
  29. Cadence SOC Encounter. http://www.cadence.com.Google ScholarGoogle Scholar

Index Terms

  1. Concurrent Guiding Template Assignment and Redundant via Insertion for DSA-MP Hybrid Lithography

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      ISPD '16: Proceedings of the 2016 on International Symposium on Physical Design
      April 2016
      180 pages
      ISBN:9781450340397
      DOI:10.1145/2872334

      Copyright © 2016 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 3 April 2016

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate62of172submissions,36%

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader