skip to main content
10.1145/2656075.2656076acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
research-article

3M-PCM: exploiting multiple write modes MLC phase change main memory in embedded systems

Published:12 October 2014Publication History

ABSTRACT

Multi-level Cell (MLC) Phase Change Memory (PCM) has many attractive features to be used as main memory for embedded systems. These features include low power, high density, and better scalability. However, there are also two drawbacks in MLC PCM, namely, limited write endurance and expensive write operation, that need to be overcome in order to practically adopt MLC PCM as main memory. In MLC PCM, two different types of write operations with very diverse data retention time are allowed. The first type maintains data for years, but takes longer time to write and hurts the endurance. The second type maintains data for a short period, but takes shorter time to write and hurts the endurance less. By observing that many data written to main memory are temporary and do not need to last long during the execution of a program, in this paper, we propose novel task scheduling and write operation selection algorithms to improve MLC PCM endurance and program efficiency. An Integer Linear Programming (ILP) formulation is first proposed to obtain optimal results. Since ILP takes exponential time to solve, we also propose a Multi-Write Mode Aware Scheduling (MMAS) heuristic to achieve near-optimal solution in polynomial time. The experimental results show that the proposed techniques can greatly improve the lifetime of MLC PCM as well as the efficiency of the program.

References

  1. http://www.eecs.umich.edu/mibench/.Google ScholarGoogle Scholar
  2. http://euler.slu.edu/~fritts/mediabench/.Google ScholarGoogle Scholar
  3. http://gcc.gnu.org/.Google ScholarGoogle Scholar
  4. http://www.lindo.com/.Google ScholarGoogle Scholar
  5. M. Awasthi, M. Shevgoor, K. Sudan, B. Rajendran, R. Balasubramonian, and V. Srinivasan. Efficient scrub mechanisms for error-prone emerging memories. In HPCA '12, pages 1--12, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. K. Bai and A. Shrivastava. Automatic and efficient heap data management for limited local memory multicore architectures. In DATE '13, pages 593--598, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. F. Chen, D. A. Koufaty, and X. Zhang. Understanding intrinsic characteristics and system implications of flash memory based solid state drives. In ACM SIGMETRICS Performance Evaluation Review, volume 37, pages 181--192, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. G. Dhiman, R. Ayoub, and T. Rosing. Pdram: A hybrid pram and dram main memory system. In DAC '09, pages 664--469, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. X. Dong, N. P. Jouppi, and Y. Xie. Pcramsim: System-level performance, energy, and area modeling for phase-change ram. In ICCAD '09, pages 269--275, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. A. P. Ferreira, M. Zhou, S. Bock, B. Childers, R. Melhem, and D. Mossé. Increasing pcm main memory lifetime. In DATE '10, DATE '10, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. R. Graham. Bounds for certain multiprocessing anomalies. Bell System Technical Journal, 45:1563--1581, 1966.Google ScholarGoogle ScholarCross RefCross Ref
  12. L. M. Grupp, A. M. Caulfield, J. Coburn, S. Swanson, E. Yaakobi, P. H. Siegel, and J. K. Wolf. Characterizing flash memory: anomalies, observations, and applications. In MICRO '09, pages 24--33, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. K. Hoya, D. Takashima, S. Shiratake, R. Ogiwara, T. Miyakawa, H. Shiga, S. M. Doumae, S. Ohtsuki, Y. Kumura, S. Shuto, et al. A 64-mb chain feram with quad bl architecture and 200 mb/s burst mode. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, 18(12):1745--1752, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. J. Hu, C. J. Xue, Q. Zhuge, W.-C. Tseng, and E.-M. Sha. Towards energy efficient hybrid on-chip scratch pad memory with non-volatile memory. In DATE '11, pages 1--6, 2011.Google ScholarGoogle Scholar
  15. L. Jiang, B. Zhao, Y. Zhang, J. Yang, and B. R. Childers. Improving write operations in mlc phase change memory. In HPCA '12, pages 1--10, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. A. Jog, A. K. Mishra, C. Xu, Y. Xie, V. Narayanan, R. Iyer, and C. R. Das. Cache revive: architecting volatile stt-ram caches for enhanced performance in cmps. In DAC '12, pages 243--252, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. M. Joshi, W. Zhang, and T. Li. Mercury: A fast and energy-efficient multi-level cell based phase change memory system. In HPCA '11, pages 345--356, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. B. C. Lee, E. Ipek, O. Mutlu, and D. Burger. Architecting phase change memory as a scalable dram alternative. SIGARCH Comput. Archit. News, 37(3):2--13, June 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. J. Li, L. Shi, Q. Li, C. J. Xue, Y. Chen, and Y. Xu. Cache coherence enabled adaptive refresh for volatile stt-ram. In DATE '13, pages 1247--1250, March 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. J. Li, L. Shi, Q. Li, C. J. Xue, Y. Chen, Y. Xu, and W. Wang. Low-energy volatile stt-ram cache design using cache-coherence-enabled adaptive refresh. ACM Transactions on Design Automation of Electronic Systems (TODAES), 19:5, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Q. Li, L. Jiang, Y. Zhang, Y. He, and C. J. Xue. Compiler directed write-mode selection for high performance low power volatile pcm. In SIGPLAN/SIGBED '13, pages 101--110, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Q. Li, J. Li, L. Shi, C. J. Xue, Y. Chen, and Y. He. Compiler-assisted refresh minimization for volatile stt-ram cache. In ASP-DAC, pages 273--278, 2013.Google ScholarGoogle Scholar
  23. Q. Li, J. Li, L. Shi, C. J. Xue, and Y. He. Mac: migration-aware compilation for stt-ram based hybrid cache in embedded systems. In ISLPED '12, pages 351--356, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. D. Liu, T. Wang, Y. Wang, Z. Shao, Q. Zhuge, and E. Sha. Curling-pcm: Application-specific wear leveling for phase change memory based embedded systems. In ASP-DAC '13, pages 279--284, 2013.Google ScholarGoogle Scholar
  25. A. Redaelli, A. Pirovano, A. Locatelli, and F. Pellizzer. Numerical implementation of low field resistance drift for phase change memory simulations. In NVSMW/ICMTD '08, pages 39--42, 2008.Google ScholarGoogle ScholarCross RefCross Ref
  26. U. Russo, D. Ielmini, and A. Lacaita. Analytical modeling of chalcogenide crystallization for pcm data-retention extrapolation. Electron Devices, IEEE Transactions on, 54(10):2769--2777, Oct 2007.Google ScholarGoogle ScholarCross RefCross Ref
  27. H. Shiga, D. Takashima, S. Shiratake, K. Hoya, T. Miyakawa, R. Ogiwara, R. Fukuda, R. Takizawa, K. Hatsuda, F. Matsuoka, et al. A 1.6 gb/s ddr2 128 mb chain feram with scalable octal bitline and sensing schemes. Solid-State Circuits, IEEE Journal of, 45(1):142--152, 2010.Google ScholarGoogle Scholar
  28. D.-J. Shin, S. K. Park, S. M. Kim, and K. H. Park. Adaptive page grouping for energy efficiency in hybrid pram-dram main memory. In RACS '12, pages 395--402, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. C. Smullen, V. Mohan, A. Nigam, S. Gurumurthi, and M. Stan. Relaxing non-volatility for fast and energy-efficient stt-ram caches. In HPCA, '11, pages 50--61, Feb 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. H. B. Sohail, B. Vamanan, and T. N. Vijaykumar. MigrantStore: Leveraging Virtual Memory in DRAM-PCM Memory Architecture. Technical report, 02 2012.Google ScholarGoogle Scholar
  31. H. Takase, H. Tomiyama, and H. Takada. Partitioning and allocation of scratch-pad memory for priority-based preemptive multi-task systems. In DATE '10, pages 1124--1129, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. C. Xu, D. Niu, N. Muralimanohar, N. P. Jouppi, and Y. Xie. Understanding the trade-offs in multi-level cell reram memory design. In DAC '13, pages 108:1--108:6, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. W. Zhang and T. Li. Helmet: A resistance drift resilient architecture for multi-level cell phase change memory system. In DSN '11, pages 197--208, June 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. P. Zhou, B. Zhao, J. Yang, and Y. Zhang. A durable and energy efficient main memory using phase change memory technology. SIGARCH Comput. Archit. News, 37(3):14--23, June 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. P. Zhou, B. Zhao, J. Yang, and Y. Zhang. Energy reduction for stt-ram using early write termination. In ICCAD '09, pages 264--268, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. V. Zivojnovic, J. Martinez, C. Schlager, and H. Meyr. Dspstone: A dsp-oriented benchmarking methodology. In ICSPAT'94, 1994.Google ScholarGoogle Scholar

Index Terms

  1. 3M-PCM: exploiting multiple write modes MLC phase change main memory in embedded systems

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          CODES '14: Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis
          October 2014
          331 pages
          ISBN:9781450330510
          DOI:10.1145/2656075

          Copyright © 2014 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 12 October 2014

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article

          Acceptance Rates

          Overall Acceptance Rate280of864submissions,32%

          Upcoming Conference

          ESWEEK '24
          Twentieth Embedded Systems Week
          September 29 - October 4, 2024
          Raleigh , NC , USA

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader