skip to main content
research-article

Critical-path-aware high-level synthesis with distributed controller for fast timing closure

Published:28 March 2014Publication History
Skip Abstract Section

Abstract

Centralized controllers commonly used in high-level synthesis often require long wires and cause high load capacitance, and that is why critical paths typically occur on paths from controllers to data registers instead of paths from data registers to data registers. However, conventional high-level synthesis has focused on delays within a datapath, making it difficult to solve the timing closure problem during physical synthesis. This article presents hardware architecture with a distributed controller, which makes the timing closure problem much easier. A novel critical-path-aware high-level synthesis flow is also presented for synthesizing such hardware through datapath partitioning, register binding, and controller optimization. We explore the design space related to the number of partitions, which is an important design parameter for target architecture. According to our experiments, the proposed approach reduces the critical path delay excluding FUs by 29.3% and that including FUs by 10.0%, with 2.2% area overhead on average compared to centralized controller architecture.

References

  1. S.-Y. Abe, M. Yanagisawa, and N. Togawa. 2012. An energy-efficient high-level synthesis algorithm for huddle-based distributed-register architecture. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS). 576--579.Google ScholarGoogle Scholar
  2. A. Avakian and I. Ouaiss. 2005. Optimizing register binding in FPGAs using simulated annealing. In Proceedings of the international Conference on Reconfigurable Computing and FPGAs (ReConFig). 8--16. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. M. Celik, L. Pileggi, and A. Odabasioglu. 2002. IC Interconnect Analysis. Kluwer Academic Publishers, 25-38.Google ScholarGoogle Scholar
  4. J. Cong, Y. Fan, and J. Xu. 2009. Simultaneous resource binding and interconnection optimization based on a distributed register-file microarchitecture. ACM Trans. Des. Automat. Electron. Syst. 14, 3. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. J. Cong, Y. Fan, G. Han, X. Yang, and Z. Zhang. 2003. Architectural synthesis integrated with global placement for multi-cycle communication. In Proceedings of the International Conference on Computer Aided Design (ICCAD). 536--543. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. P. Coussy and A. Morawiec. 2008. High-Level Synthesis: From Algorithm to Digital Circuit. Springer Publishing Company, Inc. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. A. E. Dunlop and B. W. Kernighan. 1985. A procedure for placement of standard-cell VLSI circuits. IEEE Trans. Comput. Aid. Des. Integr. Circuits Syst. 4, 1, 92--98. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. C. Fiduccia and R. Mattheyses. 1982. A linear time heuristic for improving network partitions. In Proceedings of the Design Automation Conference (DAC). 175--181. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. M. R. Garey and D. S. Johnson. 1979. Computers and Intractability: A Guide to the Theory of NP-Completeness. W. H. Freeman. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. GAUT. 2006. GAUT -- High-level synthesis tool. http://hls-labsticc.univ-ubs.fr.Google ScholarGoogle Scholar
  11. Z. Gu, J. Wang, R. P. Dick, and H. Zhou. 2007. Unified incremental physical-level and high-level synthesis. IEEE Trans. Comput. Aid. Des. Integr. Circuits Syst. 26, 9, 1576--1588. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. C. Huang, S. Ravi, A. Raghunathan, and N. K. Jha. 2005. Generation of distributed logic-memory architectures through high-level synthesis. IEEE Trans. Comput. Aid. Des. Integr. Circuits Syst. 24, 11, 1694--1711. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. S. C. Y. Huang and W. H. Wolf. 1994. Performance-driven synthesis in controller-datapath systems. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2, 1, 68--80. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. J. Jeon, Y. Ahn, and K. Choi. 2001. CDFG toolkit user's guide. Tech. rep. SNU-EE-TR-2002-8. Department of Electrical Engineering, SeoulNational University. http://dal.snu.ac.kr/index.php/Software/CDFG.Google ScholarGoogle Scholar
  15. D. Kim, J. Jung, S. Lee, J. Jeon, and K. Choi. 2001. Behavior-to-placed RTL synthesis with performance-driven placement. In Proceedings of the International Conference on Computer Aided Design (ICCAD). 320--325. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. T. Kim and X. Liu. 2010. A global interconnect reduction technique during high level synthesis. In Proceedings of the Asia South Pacific Design Automation Conference (ASPDAC). 695--700. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. P. Kollig and B. M. Al-Hashimi. 1997. Simultaneous scheduling, allocation and binding in high level synthesis. Electron. Lett. 33, 18, 1516--1518.Google ScholarGoogle ScholarCross RefCross Ref
  18. V. Krishnan and S. Katkoori. 2008. Clock period minimization with iterative binding based on stochastic wirelength estimation during high-level synthesis. In Proceedings of VLSI Design. 641--646. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. S. Lee and K. Choi. 2011. High Level synthesis with distributed controller for fast timing closure. In Proceedings of the International Conference on Computer Aided Design (ICCAD). 193--199. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. J. Li, M. Chen, J. Li, and W. Li. 2006. Minimum clique partition problem with constrained weight for interval graphs. In Proceedings of the 12th Annual International Conference on Computing and Combinatorics (COCOON). 459--468. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. S. Mitra, L. J. Avra, and E. J. McCluskey. 1999. An output encoding problem and a solution technique. IEEE Trans. Comput. Aid. Des. Integr. Circuits Syst. 18, 6, 761--768. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. A. Ohchi, N. Togawa, M. Yanagisawa, and T. Ohtsuki. 2010. Performance-driven high-level synthesis with floorplan for GDR architectures and its evaluation. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS). 921--924.Google ScholarGoogle Scholar
  23. C. Papachristou and Y. Alzazefi. 1999. A method of distributed controller design for RTL circuits. In Proceedings of the Design, Automation, and Test in Europe (DATE). 774--775. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. S. Park, K. Kim, H. Chang, J. Jeon, and K. Choi. 1999. Backward-annotation of post-layout delay information into high-level synthesis process for performance optimization. In Proceedings of the 6th International Conference on VLSI and CAD (ICVC). 25--28.Google ScholarGoogle Scholar
  25. A. Seawright and W. Meyer. 1998. Partitioning and optimizing controllers synthesized from hierarchical high-level descriptions. In Proceedings of the Design Automation Conference (DAC). 770--775. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. SUIF. 1994. The SUIF 1.x Compiler System. http://suif.stanford.edu/suif/suif1/index.html.Google ScholarGoogle Scholar
  27. Synopsys. 2010a. Synopsys Design Compiler. http://www.synopsys.com.Google ScholarGoogle Scholar
  28. Synopsys. 2010b. Synopsys IC Compiler. http://www.synopsys.com.Google ScholarGoogle Scholar
  29. TSMC. 2009. TSMC Standard Cell Libraries. http://www.synopsys.com/dw/tsmc.php.Google ScholarGoogle Scholar
  30. G. K Wallace. 1992. The JPEG still picture compression standard. IEEE Trans. Consum. Electron. 38, 1, 18--34. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. V. Zivojnovic, J. Martinez, C. Schlger, and H. Meyr. 1994. DSPstone: A DSP-oriented benchmarking methodology. In Proceedings of the International Conference on Signal Processing Applications and Technology (ICSPAT). 715--720.Google ScholarGoogle Scholar

Index Terms

  1. Critical-path-aware high-level synthesis with distributed controller for fast timing closure

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Published in

      cover image ACM Transactions on Design Automation of Electronic Systems
      ACM Transactions on Design Automation of Electronic Systems  Volume 19, Issue 2
      March 2014
      314 pages
      ISSN:1084-4309
      EISSN:1557-7309
      DOI:10.1145/2597648
      Issue’s Table of Contents

      Copyright © 2014 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 28 March 2014
      • Accepted: 1 November 2013
      • Revised: 1 August 2013
      • Received: 1 April 2013
      Published in todaes Volume 19, Issue 2

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
      • Research
      • Refereed

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader