skip to main content
10.1145/2463209.2488874acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Dynamic voltage and frequency scaling for shared resources in multicore processor designs

Published:29 May 2013Publication History

ABSTRACT

As the core count in processor chips grows, so do the on-die, shared resources such as on-chip communication fabric and shared cache, which are of paramount importance for chip performance and power. This paper presents a method for dynamic voltage/frequency scaling of networks-on-chip and last level caches in multicore processor designs, where the shared resources form a single voltage/frequency domain. Several new techniques for monitoring and control are developed, and validated through full system simulations on the PARSEC benchmarks. These techniques reduce energy-delay product by 56% compared to a state-of-the-art prior work.

References

  1. Bienia, C., Kumar, S., Singh, J. P., and Li, K. The PARSEC Benchmark Suite: Characterization and Architectural Implications. In PACT. 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Binkert, N., Beckmann, B., Black, G., Reinhardt, S. K., et al. The gem5 simulator. ACM Computer Architecture News, 39(2):1--7, May 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Bogdan, P., Marculescu, R., Jain, S., and Gavila, R. T. An optimal control approach to power management for multi-voltage and frequency islands multiprocessor platforms under highly variable workloads. In NOCS, pages 35--42. 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Chen, X., Xu, Z., Kim, H., Gratz, P., et al. In-network monitoring and control policy for dvfs of cmp networks-on-chip and last level caches. In NOCS, pages 43--50. 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Flautner, K., Kim, N. S., Martin, S., Blaauw, D., et al. Drowsy caches: simple techniques for reducing leakage power. In ISCA, pages 148--157. 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Guang, L., Nigussie, E., Koskinen, L., and Tenhunen, H. Autonomous DVFS on supply islands for energy-constrained NoC communication. Lecture Notes in Computer Science: Architecture of Computing Systems, 5455/2009:183--194, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Kahng, A. B., Li, B., Peh, L. S., and Samadi, K. ORION 2.0: a power-area simulator for interconnection networks. TVLSI, 20(1):191--196, January 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Kirolos, S. and Massoud, Y. Adaptive SRAM design for dynamic voltage scaling VLSI systems. In MWSCAS, pages 1297--1300. 2007.Google ScholarGoogle ScholarCross RefCross Ref
  9. Konstantakopoulos, T., Eastep, J., Psota, J., and Agarwal, A. Energy scalability of on-chip interconnection networks in multicore architectures. Technical report, MIT Computer Science and Artificial Intelligence Laboratory, November 2007.Google ScholarGoogle Scholar
  10. Kowaliski, C. Gelsinger reveals details of Nehalem, Larrabee, Dunnington, 2008.Google ScholarGoogle Scholar
  11. Kumar, R. and Hinton, G. A family of 45nm IA processors. In ISSCC, pages 58--59. 2009.Google ScholarGoogle ScholarCross RefCross Ref
  12. Liang, G. and Jantsch, A. Adaptive power management for the on-chip communication network. In Proeedings of the Euromicro Conference on Digital System Design. 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Mishra, A. K., Das, R., Eachempati, S., Iyer, R., et al. A case for dynamic frequency tuning in on-chip networks. In MICRO, pages 292--303. 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Muralimanohar, N., Balasubramonian, R., and Jouppi, N. P. CACTI 6.0: a tool to model large caches. Technical report, HP Laboratories, 2009.Google ScholarGoogle Scholar
  15. Ogras, U. Y., Marculescu, R., and Marculescu, D. Variation-adaptive feedback control for networks-on-chip with multiple clock domains. In DAC, pages 614--619. 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Rahimi, A., Salehi, M. E., Mohammadi, S., and Fakhraie, S. M. Low-energy GALS NoC with FIFO-monitoring dynamic voltage scaling. Microelectronics Journal, 42(6):889--896, June 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Shang, L., Peh, L., and Jha, N. K. Power-efficient interconnection networks: dynamic voltage scaling with links. IEEE Computer Architecture Letters, 1(1), 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Son, S. W., Malkowski, K., Chen, G., Kandemir, M., et al. Integrated link/CPU voltage scaling for reducing energy consumption of parallel sparse maxtrix applications. In IPDPS. 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Wang, H., Peh, L. S., and Malik, S. Power-driven design of router microarchitectures in on-chip networks. In MICRO, pages 105--116. 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Dynamic voltage and frequency scaling for shared resources in multicore processor designs

            Recommendations

            Comments

            Login options

            Check if you have access through your login credentials or your institution to get full access on this article.

            Sign in
            • Published in

              cover image ACM Conferences
              DAC '13: Proceedings of the 50th Annual Design Automation Conference
              May 2013
              1285 pages
              ISBN:9781450320719
              DOI:10.1145/2463209

              Copyright © 2013 ACM

              Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

              Publisher

              Association for Computing Machinery

              New York, NY, United States

              Publication History

              • Published: 29 May 2013

              Permissions

              Request permissions about this article.

              Request Permissions

              Check for updates

              Qualifiers

              • research-article

              Acceptance Rates

              Overall Acceptance Rate1,770of5,499submissions,32%

              Upcoming Conference

              DAC '24
              61st ACM/IEEE Design Automation Conference
              June 23 - 27, 2024
              San Francisco , CA , USA

            PDF Format

            View or Download as a PDF file.

            PDF

            eReader

            View online with eReader.

            eReader