skip to main content
10.1145/1973009.1973104acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article

Design of MRAM based logic circuits and its applications

Published:02 May 2011Publication History

ABSTRACT

As the fabrication technology node shrinks down to 90nm or below, high standby power becomes one of the major critical issues for CMOS logic circuits due to the high leakage currents. A number of non-volatile storage technologies such as FRAM, MRAM, PCRAM and RRAM and so on, are under investigation to bring the non-volatility into the logic circuits and then eliminate completely the standby power issue. Thanks to its infinite endurance, high switching/sensing speed and easy 3D integration after CMOS process, MRAM is considered as the most promising one. Numerous logic circuits based on MRAM technology have been proposed and prototyped in the last years. In this paper, we present an overview and current status of these logic circuits and their potential applications in the future.

References

  1. Weste, N H E. and Harris, D M, 2010, "CMOS VLSI Design: A Circuits and Systems Perspective" Fourth Edition. Boston: Pearson/Addison-Wesley. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Kang, S and Leblebici, Y, 2002, "CMOS Digital Integrated Circuits", Third Edition, McGrawHill publisher. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Kim, N S et al., 2003, "leakage current: Moore's law meets the static power" IEEE Computer Society, pp.68--74. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Slaughter, J M et al., 2005, IEEE International Electron Devices Meeting (IEDM), USA, pp.893--896.Google ScholarGoogle Scholar
  5. Hoya, K et al., 2010, IEEE Transactions on VLSI, Vol.18, pp. 1745--1752. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Wong, H S P, et al., 2010, "Phase Change Memory", Proceedings of the IEEE, Vol.98, pp.2201--2227.Google ScholarGoogle ScholarCross RefCross Ref
  7. Kund, M et al., 2005, IEEE IEDM, USA, pp. 754--757.Google ScholarGoogle Scholar
  8. Chappert, C, Fert, A and Nguyen Van Dau, F, 2007, "The emergence of spin electronics in data storage" Nature Materials, Vol.6, pp.813--823.Google ScholarGoogle ScholarCross RefCross Ref
  9. Wolf, S et al., 2001, Science, Vol. 294, pp.1488--1495.Google ScholarGoogle ScholarCross RefCross Ref
  10. Everspin. http://www.everspin.com/Google ScholarGoogle Scholar
  11. Prejbeanu, I L et al., 2007, "Thermally assisted MRAM", Journal of Physics: Condensed Matter, Vol. 19,165218.Google ScholarGoogle ScholarCross RefCross Ref
  12. Sun, J Z, 2006, IBM Journal of Research and Development, Vol.50, 2006 pp.81--100. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Kawahara, T et al., 2007, Proc in International Solid-State Circuts Conference (ISSCC), USA, p. 480--483.Google ScholarGoogle Scholar
  14. Parkin, S S P, et al, 2008, Science, Vol.320, pp190--194.Google ScholarGoogle ScholarCross RefCross Ref
  15. Lin, C J et al., 2009, "45nm Low power CMOS logic compatible embedded STT-MRAM utilizing a reverse-connection 1T/1MTJ cell" IEEE IEDM, pp.279--282.Google ScholarGoogle Scholar
  16. Tsuchida, K et al., 2010, IEEE ISSCC, pp.258--259.Google ScholarGoogle Scholar
  17. International Roadmap for semiconductor (ITRS), 2007 and 2008 Update ERD section.Google ScholarGoogle Scholar
  18. Zhao, W S et al., 2007, "Spin-MTJ based Non-Volatile Flip-Flop" Proc. of IEEE-NANO, pp. 399--402.Google ScholarGoogle Scholar
  19. ProASIC data sheet, www.actel.comGoogle ScholarGoogle Scholar
  20. Michiya, O and Shoichi, M, 2003, FUJITSU Science and Technology. Journal. 39, pp.52--61.Google ScholarGoogle Scholar
  21. Wang, M et al., 2010, "A Novel CuxSiyO Resistive Memory in Logic Technology with Excellent Data Retention and Resistance Distribution for Embedded Applications", IEEE Symp. Very Large Scale Integr. Technologys, pp. 89--90.Google ScholarGoogle Scholar
  22. Yu, B J et al., 2010, "Programmable Logic Block of FPGA using Phase-Change Memory device" US Patent 0148821.Google ScholarGoogle Scholar
  23. Black, W C and Das, B, 2000, J. Appl. Phys., Vol. 87, No. 9, pp: 6674 -6679.Google ScholarGoogle ScholarCross RefCross Ref
  24. Zhao, W S et al. 2009, "Spin Transfer Torque (STT)-MRAM based Run Time Reconfiguration FPGA circuit" ACM Trans. on Embedded Computing Systems, 9, No.2, article 14. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Guillemenet, Y et al., 2008, "A non-volatile run-time FPGA using thermally assisted switching MRAMS", Proc. Int. Conf. Field Programmable Logic Appl. pp. 421--426.Google ScholarGoogle ScholarCross RefCross Ref
  26. Suzuki, D et al., 2009, "Fabrication of a Nonvolatile Lookup-Table Circuit Chip Using Magneto/Semiconductor-Hybrid Structure for an Immediate-Power-Up Field Programmable Gate Array", IEEE Symp. Very Large Scale Integr. (VLSI) Circuits, Kyoto, Japan, pp. 80--81.Google ScholarGoogle Scholar
  27. Yamamoto, S and Sugahara, S, 2010, Jpn. J. Appl. Phys., 49, pp. 090204.Google ScholarGoogle ScholarCross RefCross Ref
  28. Sakimura, N et al., 2008, "Nonvolatile Magnetic Flip-Flop for Standby-power-free SoCs", Proc of the Custom Integrated Circuits Conference (IEEE-CICC), pp. 355--358.Google ScholarGoogle ScholarCross RefCross Ref
  29. Chaudhuri, S et al., 2010, "Design of Embedded MRAM Macros for Memory-in-Logic Applications", Proc of ACM/IEEE GLSVLSI, USA, pp.155--158. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. Zhao, W S et al. 2009, "TAS-MRAM based low power, high speed Run-Time Reconfiguration (RTR) FPGA", ACM Trans on Reconfigurable Techno. and systems, 2, article 8. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. Guillemenet, Y et al., 2010, "Non-volatile run-time field-programmable gate arrays structures using thermally assisted switching magnetic random access memories," Computers & Digital Techniques, IET, vol.4, no.3, pp.211--226.Google ScholarGoogle ScholarCross RefCross Ref
  32. T. Devolder et al., 2008, "Single-shot time-resolved measurements of nanosecond-scale spin-transfer induced switching: Stochastic versus deterministic aspects", Physic. Rev. Lett.Vol.100, 057206.Google ScholarGoogle ScholarCross RefCross Ref
  33. Ikeda, S et al., 2010, Nature Materials, Vol.9, pp. 721--724.Google ScholarGoogle ScholarCross RefCross Ref
  34. Zhao, W S et al., 2009, "High speed, high stability and low power sensing amplifier for MTJ/CMOS hybrid logic circuits" IEEE Transaction on Magnetics, 45, pp.3784--3787.Google ScholarGoogle ScholarCross RefCross Ref
  35. Lewis, E R et al, 2010, Nature Materials, Vol.9, pp.980--983.Google ScholarGoogle ScholarCross RefCross Ref
  36. Mangin, S et al., 2006, Nature Materials, Vol.5, pp.210--215.Google ScholarGoogle ScholarCross RefCross Ref
  37. Burrowes, C et al., 2010, "Non-adiabatic spin-torques in narrow magnetic domain walls", Nature Physics, Vol.6, pp.17--21.Google ScholarGoogle ScholarCross RefCross Ref
  38. Virtex-III data sheet, www.xilinx.comGoogle ScholarGoogle Scholar
  39. Torres, L, Guillemenet, Y, Ahmed, S, Z, 2010, "A Dynamic Reconfigurable MRAM based FPGA", ERSA 2010, Las Vegas, USA, pp. 31--40.Google ScholarGoogle Scholar
  40. Zhao, W S et al., 2006, "A non-volatile Flip-Flop in Magnetic FPGA chip" IEEE International Conference on Design & Test of Integrated, Tunisia, pp.323--327.Google ScholarGoogle Scholar
  41. Kothari, L, Carter, N P, 2007, IEEE Transactions on computer, Vol.56, pp.161--173. Google ScholarGoogle ScholarDigital LibraryDigital Library
  42. Matsunaga S et al., 2008, "Fabrication of a Nonvolatile Full Adder Based on Logic-in-Memory Architecture Using Magnetic Tunnel Junctions", Appl. Phys. Express, 1, 091301.Google ScholarGoogle ScholarCross RefCross Ref
  43. Allam, M W and Elmasry, M I, 2001, IEEE J. Solid-State Circuits, Vol. 36, No. 3, pp.550--558.Google ScholarGoogle ScholarCross RefCross Ref
  44. Behin-Aein, B et al., 2010, Nat. Nano. 5, pp.266--270.Google ScholarGoogle ScholarCross RefCross Ref
  45. Allwood, D A et al., 2005, Science, Vol.309, pp.1688--1692.Google ScholarGoogle ScholarCross RefCross Ref
  46. Sun, G Y, et al., 2009, "Novel Architecture of the 3D Stacked MRAM L2 Cache for CMPs" Procs. Of HPCA, pp.239--249.Google ScholarGoogle Scholar
  47. Lakys Y, et al., 2010, "low power, high reliability magnetic flip-flop", Electronics letters, Vol.46, pp.1493--1494.Google ScholarGoogle Scholar
  48. Cargnini, L.V, Guillemenet, Y, Torres, L and Sassatelli, G, 2010, "Improving the Reliability of a FPGA using Fault-Tolerance Mechanism Based on Magnetic Memory (MRAM)", ReConFiG, Aruba, Mexico. Google ScholarGoogle ScholarDigital LibraryDigital Library
  49. Kang, S. H., 2010, "Embedded STT-MRAM for Mobile Applications: Enabling Advanced Chip Architectures", Non-Volatile Memories Workshop, UCSD.Google ScholarGoogle Scholar

Index Terms

  1. Design of MRAM based logic circuits and its applications

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      GLSVLSI '11: Proceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI
      May 2011
      496 pages
      ISBN:9781450306676
      DOI:10.1145/1973009

      Copyright © 2011 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 2 May 2011

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate312of1,156submissions,27%

      Upcoming Conference

      GLSVLSI '24
      Great Lakes Symposium on VLSI 2024
      June 12 - 14, 2024
      Clearwater , FL , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader