skip to main content
research-article

MPTLsim: a cycle-accurate, full-system simulator for x86-64 multicore architectures with coherent caches

Published:23 July 2009Publication History
Skip Abstract Section

Abstract

The introduction of multicore microprocessors in the recent years has made it imperative to use cycleaccurate and full-system simulators in the architecture research community. We introduce MPTLsim - a multicore simulator for the X86 ISA that meets this need. MPTLsim is a uop-accurate, cycle-accurate, full-system simulator for multicore designs based on the X86-64 ISA. MPTLsim extends PTLsim, a publicly available single core simulator, with a host of additional features to support hyperthreading within a core and multiple cores, with detailed models for caches, on-chip interconnections and the memory data flow. MPTLsim incorporates detailed simulation models for cache controllers, interconnections and has built-in implementations of a number of cache coherency protocols.

References

  1. Austin, T., et al., "Simplescalar: An Infrastructure for Computer System Modeling", IEEE Computer, February 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. "Using the M5 Simulator", ASPLOS 2008 tutorial slides at http://www.m5sim.org/dist/tutorials/asplos_pres.pdfGoogle ScholarGoogle Scholar
  3. Binkert, N., et al., "The M5 Simulator: Modeling Networked Systems", in IEEE Micro, July-Aug. 2006, pp.52--60. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Bochs IA-32 Emulator Project and related documentation at http://bochs.sourceforge.net.Google ScholarGoogle Scholar
  5. F. Cazorla, et al. "Dynamically Controlled Resource Allocation in SMT Processors", Proc. MICRO, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Chidester, M., and George, A., "Parallel Simulation of Chip-Multiprocessor Architectures," ACM Trans. on Modeling and Computer Simulation, vol. 12, no. 3, pp. 176--200, July 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Donald, J., Martonosi, M., "An Efficient, Practical Parallelization Methodology for Multicore Architecture Simulation", Computer Architecture Letters, August 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Doweck, J., "Intel Smart Memory Access: Minimizing Latency on Intel Core Microarchitecture", Tech. at Intel, September 2006.Google ScholarGoogle Scholar
  9. Emer, J., "A-Sim: A Performance Model Framework", IEEE Computer Magazine, February 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Gupta, A., Culler, D., Singh, P., "Parallel Computer Architectures", published by Morgan-Kaufmann, 1999.Google ScholarGoogle Scholar
  11. Li Y., et al., "CMP Design Space Exploration Subject to Physical Constraints", in Proc. of HPCA, 2006.Google ScholarGoogle Scholar
  12. Luk, C-K., et al, "Pin: Building Customized Program Analysis Tools with Dynamic Instrumentation", PLDI, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Web page of the Liberty project at Princeton University: http://liberty.princeton.edu/Software/LSE/Google ScholarGoogle Scholar
  14. Marty, M. R. et al. "Multifacet GEMS: General Execution driven Multiprocessor Simulator", tutorial presentation at ISCA 2005, available at: http://www.cs.wisc.edu/gems/Google ScholarGoogle Scholar
  15. Nesbit, K., Smith, J., "Data Cache Prefetching Using a Global History Buffer", Proceedings HPCA, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Bellard, F., "QEMU internals", Technical Report, 2006. http://www.qemu.org/qemu-tech.htmlGoogle ScholarGoogle Scholar
  17. Renau, J. et al. "SESC Simulator", http://sesc.sourceforge.netGoogle ScholarGoogle Scholar
  18. Web page of the RAMP project at UC Berkeley: http://ramp.eecs.berkeley.edu/index.php?aboutGoogle ScholarGoogle Scholar
  19. Sharkey, J., "M-Sim: A Flexible Multithreaded Architectural Simulation Environment", and software distribution available at http://www.cs.binghamton.edu/~m-simGoogle ScholarGoogle Scholar
  20. Simics documentation at http://www.virtutech.com/newspress/press/2005/2005pr7.htmlGoogle ScholarGoogle Scholar
  21. D. Tullsen, et al. "Exploiting Choice: Instruction Fetch and Issue on an Implementable Simultaneous Multithreading Processor." in Proc of ISCA, 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Open source and documentation for Valgrind are available at: http://valgrind.org/Google ScholarGoogle Scholar
  23. Wenisch, T., et al., "SimFlex: Statistical Sampling of Computer System Simulation", IEEE Micro, Aug. 2006, pp.18--31 Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Wang, H., Zhu, X., Peh, L. and Malik, S., "Orion: A Power-Performance Simulator for Interconnection Networks", In Proceedings of MICRO 35, Istanbul, Turkey, November 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Yourst, M., "PTLsim User's Guide and References", and software distribution available at http://www.ptlsim.orgGoogle ScholarGoogle Scholar
  26. Yourst, M., "PTLsim: A Cycle Accurate Full System x86-64 Microarchitectural Simulator", ISPASS, 2007.Google ScholarGoogle ScholarCross RefCross Ref
  27. FeS2 Simulator pages at: http://fes2.cs.uiuc.edu/, 2008.Google ScholarGoogle Scholar

Index Terms

  1. MPTLsim: a cycle-accurate, full-system simulator for x86-64 multicore architectures with coherent caches

              Recommendations

              Comments

              Login options

              Check if you have access through your login credentials or your institution to get full access on this article.

              Sign in

              Full Access

              • Published in

                cover image ACM SIGARCH Computer Architecture News
                ACM SIGARCH Computer Architecture News  Volume 37, Issue 2
                May 2009
                69 pages
                ISSN:0163-5964
                DOI:10.1145/1577129
                Issue’s Table of Contents

                Copyright © 2009 Authors

                Publisher

                Association for Computing Machinery

                New York, NY, United States

                Publication History

                • Published: 23 July 2009

                Check for updates

                Qualifiers

                • research-article

              PDF Format

              View or Download as a PDF file.

              PDF

              eReader

              View online with eReader.

              eReader